JP2022027719A - 半導体デバイス及びその形成方法 - Google Patents

半導体デバイス及びその形成方法 Download PDF

Info

Publication number
JP2022027719A
JP2022027719A JP2021125629A JP2021125629A JP2022027719A JP 2022027719 A JP2022027719 A JP 2022027719A JP 2021125629 A JP2021125629 A JP 2021125629A JP 2021125629 A JP2021125629 A JP 2021125629A JP 2022027719 A JP2022027719 A JP 2022027719A
Authority
JP
Japan
Prior art keywords
spacer
dielectric layer
layer
conductive wire
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021125629A
Other languages
English (en)
Inventor
力蓁 游
li-zhen Yu
煥傑 蘇
Huan-Chieh Su
麟▲いく▼ 黄
Lin-Yu Huang
正吉 莊
Cheng-Chi Chuang
志豪 王
Chih-Hao Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022027719A publication Critical patent/JP2022027719A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

【課題】裏側相互接続構造に形成される空気スペーサを備えた半導体デバイス及びその形成方法を提供する。【解決手段】デバイスは、第1トランジスタ構造体109と、第1トランジスタ構造体の前側にある前側相互接続構造120と、第1トランジスタ構造体の裏側にある裏側相互接続構造164と、を含む。裏側相互接続構造は、第1トランジスタ構造の裏側にある第1誘電体層154と、第1誘電体層を延伸して貫通し、第1トランジスタ構造の第1ソース/ドレイン領域92に電気的に結合される裏側貫通孔92と、裏側貫通孔に電気的に結合される導電線143と、導電線に隣接する空気スペーサ156と、を含む。導電線は、空気スペーサの第1側境界を画定する。【選択図】図36B

Description

本出願の実施例は、半導体デバイス及びその形成方法に関する。
半導体デバイスは様々な電子応用に用いられ、例えばパーソナルコンピュータ、携帯電話、デジタルカメラ及び他の電子装置である。一般的に以下の方式で半導体デバイスを製造する。半導体基板の上方に絶縁層又は誘電体層、導電層及び材料の半導体層を順に堆積し、かつフォトリソグラフィを用いて各材料層をパターン化してその上方に回路アセンブリ及び素子を形成する。
半導体工業は最小部品のサイズを絶えず減少させることにより様々な電子部品(例えば、トランジスタ、ダイオード、抵抗器、コンデンサなど)の集積密度を絶えず向上させ、これはより多くのアセンブリを所定の領域に集積することを可能にする。しかしながら、最小部品のサイズが小さくなるにつれて、解決すべき付加的な問題が出現する。
本願のいくつか実施例は、第1トランジスタ構造と、前記第1トランジスタ構造の前側にある前側相互接続構造と、前記第1トランジスタ構造の裏側にある裏側相互接続構造と、を含み、前記裏側相互接続構造は、前記第1トランジスタ構造の前記裏側にある第1誘電体層と、前記第1誘電体層を延伸して貫通し、前記第1トランジスタ構造の第1ソース/ドレイン領域に電気的に結合される第1貫通孔と、前記第1貫通孔に電気的に結合される第1導電線と、前記第1導電線に隣接する空気スペーサと、を含み、前記第1導電線は、前記空気スペーサの第1側境界を画定する半導体デバイスを提供している。
本願の他のいくつか実施例は、トランジスタ構造と、前記トランジスタ構造の前側にある前側相互接続構造と、前記トランジスタ構造の裏側にある裏側相互接続構造と、を含み、前記裏側相互接続構造は、第1裏側貫通孔を介して前記トランジスタ構造の第1ソース/ドレイン領域に電気的に結合される第1導電線と、前記第1導電線の側面に接触する第1誘電体スペーサと、前記第1誘電体スペーサに隣接するエアギャップと、含み、ここで前記第1誘電体スペーサの側面は、前記エアギャップの第1境界を画定し、かつ前記第1導電線の側面は、前記エアギャップの第2境界を画定する半導体デバイスを提供している。
本願の他のいくつか実施例は、第1基板に第1トランジスタを形成することと、第1エピタキシャル材料を露出させ、ここで前記第1エピタキシャル材料を露出させることは前記第1基板の裏側を薄くすることを含むことと、前記第1エピタキシャル材料を第1裏側貫通孔に置き換え、前記第1裏側貫通孔は前記第1トランジスタの第1ソース/ドレイン領域に電気的に結合されることと、前記第1裏側貫通孔の上方に第1誘電体層を形成することと、前記第1裏側貫通孔の上方の前記第1誘電体層に第1導電線を形成し、前記第1導電線は前記第1裏側貫通孔に電気的に結合されることと、前記第1誘電体層の上方に前記第1導電線に隣接する第1スペーサを形成することと、前記第1誘電体層を除去して前記第1導電線の側壁を露出させる第1溝を形成することと、前記第1溝を密封して空気スペーサを形成することと、を含む半導体デバイスを形成する方法を提供している。
図面と共に読む場合、以下の詳細な説明に基づいて本発明の各態様を最もよく理解することができる。注意すべきことは、業界における標準実践に基づいて、各部材は比率に応じて描画されないことである。実際には、明確に説明するために、各部材の寸法は任意に増加するか又は減少することができる。
いくつかの実施例に係るナノ構造電界効果トランジスタ(ナノFET)の一例を三次元的に表したものである。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。 いくつかの実施例におけるナノFETの製造途中段階の断面図である。
以下の開示内容は多くの異なる実施例又は実例を提供し、提供された主題の異なる部品を実現するために用いられる。以下、本発明の構成要素及び配置の具体例を簡単に説明する。当然のことながら、これらは単に実施例であり、本発明を限定することを意図しない例えば、以下の説明において、第2部材の上方又は上に第1部材を形成することは第1部材と第2部材が直接接触する実施例を含んでもよく、第1部材と第2部材との間に形成された付加部材により第1部材と第2部材が直接接触しない実施例を含んでもよい。また、本発明は複数の実施例において数字及び/又は文字を繰り返して参照することができる。このような重複は簡略化及び明確化の目的であり、かつそれ自体は説明された各実施例及び/又は配置の間の関係を指示しない。
また、説明を容易にするために、本明細書は空間相対用語、例えば「下方」、「下面」、「低い」、「上方」、「上面」等を使用して図に示すような一つの部品又は部品と他の部品又は部品との関係を説明する。各図に描かれた配向に加えて、空間相対用語はさらにデバイスの使用又は操作における異なる配向をカバーすることを目的とする。装置は他の方式で配向することができ(90度回転するか又は他の方向にある)、ここで使用された空間関連記述子を対応して解釈することができる。
実施例によれば、裏側相互接続構造に形成された空気スペーサを有する半導体デバイス及びその形成方法が提供される。空気スペーサは裏側相互接続構造における導電線に隣接するように形成することができ、該導電線は電源線、電気接地線などに配線される。導電線、隣接する導電線及び導電線の側壁に沿って形成されたスペーサは空気スペーサの側境界を画定することができる。空気スペーサは導電線の間の改善された隔離を提供することができ、これは容量結合を減少させかつ増加したデバイス速度を使用することを可能にする。導電線が形成された第1誘電体層をエッチバックすることにより空気スペーサを形成することができる。第1誘電体層及び導電線の上方に第2誘電体層を堆積する。第2誘電体層を異方性エッチングしてスペーサを形成する。第1誘電体層を除去する。付加誘電体層で導電線及びスペーサに隣接する溝を密封する。
ここで説明するいくつかの実施例はナノFETを含むダイの背景で説明される。しかしながら、ナノFETを代替するか又は結合し、各実施例は他のタイプのトランジスタ(例えば、フィン型電界効果トランジスタ(FinFET)、平面トランジスタ等)を含むダイに適用することができる。
図1は三次元ビューでいくつかの実施例に係るナノFET(例えば、ナノワイヤFET、ナノシートFETなど)の実施例を示す。ナノFETは基板50(例えば、半導体基板)上のフィン66の上方にナノ構造55(例えば、ナノシート、ナノワイヤなど)を含み、そのうち、ナノ構造55はナノFETのチャネル領域として用いられる。ナノ構造55は、p型ナノ構造、n型ナノ構造、またはこれらの組み合わせを含むことができる。シャロートレンチ分離(STI)領域68は隣接するフィン66の間に配置され、これらは隣接するSTI領域68の上方又はその間から突出することができる。STI領域68は基板50と分離するように説明/示されるが、本明細書に使用されるように、用語“基板”は単独の半導体基板又は半導体基板とSTI領域との組み合わせを指すことができる。また、フィン66の底部は基板50に連続する単一材料として示されるが、フィン66及び/又は基板50の底部は単一材料又は複数の材料を含むことができる。本明細書において、フィン66は隣接するSTI領域68の間に延在する部分を指す。
ゲート誘電体層100は、フィン66の上面上であって、ナノ構造体55の上面、側壁及び下面に沿っている。ゲート電極102は、ゲート誘電体層100上に位置する。ゲート誘電体層100とゲート電極102の反対側のフィン66上には、エピソース/ドレイン領域92が配置されている。
なお、図1では、後の図で用いる基準断面を更に示している。断面AーA’は、ゲート電極102の長手方向に沿っており、例えば、ナノFETのエピソース/ドレイン領域92間の電流の流れる方向に垂直な方向である。断面B-B’は断面A-A’に平行であり、かつ複数のナノFETのエピソース/ドレイン領域92を貫通する。断面C-C’は断面A-A’に垂直であり、かつナノFETのフィン66の縦方向軸線に平行でありかつ例えばナノFETのエピソース/ドレイン領域92の間の電流が流れる方向にある。明確にするために、後続の図面はこれらの参照断面を参照する。
ここで説明されたいくつかの実施例はバックゲートプロセスを用いて形成されたナノFETの背景で説明される。他の実施例において、先ゲートプロセスを使用することができる。かつ、いくつかの実施例は平面FET又はフィン型電界効果トランジスタ(FinFET)などの平面デバイスに使用される態様を考慮する。
図2-図37Cは、いくつかの実施例に係るナノFETの製造途中段階の断面図である。図2-図5、図6A、図7A、図8A、図9A、図10A、図11A、図12A、図13A、図14A、図15A、図16A、図17A、図18A、図19A、図20A、図21A、図22A、図23A、図24A、図25A、図26A、図27A、図28A、図29A、図30A、図31A、図32A、図33A、図34A、図35A、図36A及び図37Aは、図1に示す基準断面AーA’を示している。図6B、図7B、図8B、図9B、図10B、図11B、図12B、図12D、図13B、図14B、図15B、図16B、図17B、図18B、図19B、図20B、図21B、図22B、図23B、図24B、図25B、図26B、図27B、図28B、図29B、図30B、図31B、図32B、図33B、図34B、図35B、図36B及び図37Bは、図1に示す基準断面BーB’を示している。図7C、図8C、図9C、図10C、図11C、図11D、図12C、図12E、図13C、図14C、図15C、図16C、図17C、図18C、図19C、図20C、図20D、図21C、図22C、図23C、図24C、図25C、図26C、図26D、図27C、図28C、図29C、図30C、図31C、図32C、図33C、図34C、図35C、図36C、図37Cは、図1に示す基準断面CーC’を示す。
図2において、基板50を提供する。基板50は半導体基板であってもよく、例えばブロック半導体、絶縁体半導体(SOI)基板等であり、それはドーピング(例えば、p型又はn型ドーパントを用いる)されてもよく又は非ドーピングされてもよい。基板50はウェハであってもよく、例えばシリコンウェハである。一般的に、SOI基板は絶縁体層に形成された半導体材料層である。絶縁体層は、例えば、埋め込み酸化物(BOX)層、酸化シリコン層などであってもよい。絶縁層は、一般的にシリコンやガラス基板である基板上に設けられる。他の基板を使用してもよく、例えば多層又は傾斜基板である。いくつかの実施例において、基板50の半導体材料は、シリコンと、ゲルマニウムと、炭化ケイ素、ヒ化ガリウム、リン化ガリウム、リン化インジウム、ヒ化インジウム及び/又はアンチモンを含む化合物半導体と、シリコンゲルマニウム、リンヒ化ガリウム、ヒ化アルミニウムインジウム、ヒ化アルミニウムガリウム、ヒ化ガリウムインジウム、リン化ガリウムインジウム及び/又はリンヒ化ガリウムインジウムを含む合金半導体と、それらの組み合わせと、を含むことができる。
基板50は、n型領域50N及びp型領域50Pを有する。n型領域50Nは例えばNMOSトランジスタ(例えば、n型ナノFET)などのn型デバイスを形成するために用いられ、かつp型領域50Pは例えばPMOSトランジスタ(例えば、p型ナノFET)などのp型デバイスを形成するために用いられる。n型領域50Nはp型領域50Pと物理的に分離することができ(セパレータ20に示すとおりである)、かつ説明されたデバイス部品(例えば、他のアクティブデバイス、ドーピング領域、分離構造など)はn型領域50Nとp型領域50Pとの間に配置されてもよく一つのn型領域50N及び一つのp型領域50Pを示すが、説明されたn型領域50N及びp型領域50Pを提供することができる。
また図2において、多層積層部品64は基板50の上方に形成される。積層体64は、第1半導体層51A-51C(第1半導体層51と総称する)と第2半導体層53A-53C(第2半導体層53と総称する)との交互層を含む。説明の目的で以下により詳細に説明するように、第1半導体層51を除去しかつ第2半導体層53をパターン化することによりn型領域50N及びp型領域50PにナノFETのチャネル領域を形成する。しかしながら、いくつかの実施例において、第1半導体層51を除去しかつ第2半導体層53をパターン化してn型領域50NにナノFETのチャネル領域を形成することができ、かつ第2半導体層53を除去しかつ第1半導体層51をパターン化してp型領域50PにナノFETのチャネル領域を形成することができる。いくつかの実施例において、第2半導体層53を除去しかつ第1半導体層51をパターン化してn型領域50NにナノFETのチャネル領域を形成することができ、かつ第1半導体層51を除去しかつ第2半導体層53をパターン化してp型領域50PにナノFETのチャネル領域を形成することができる。いくつかの実施例において、第2半導体層53を除去しかつ第1半導体層51をパターン化することによりn型領域50N及びp型領域50Pの両方にナノFETのチャネル領域を形成することができる。
例示的な目的のために、多層積層体64は第1半導体層51及び第2半導体層53のそれぞれを含む三つの層として示される。いくつかの実施例において、多層積層体64は任意の数の第1半導体層51及び第2半導体層53を含むことができる。多層積層体64の各層は、化学気相成長(CVD)、原子層堆積(ALD)、気相成長(PPE)、分子線成長(MBE)等のプロセスを用いてエピタキシャル成長させることができる。各実施例において、第1半導体層51はp型ナノFETに適合する第1半導体材料で形成されてもよく、例えばシリコンゲルマニウム等であり、かつ第2半導体層53はn型ナノFETに適合する第2半導体材料で形成されてもよく、例えばシリコン、シリコン炭素等である。説明の目的のために、多層積層体64はp型ナノFETに適する最下層半導体層を有するように示される。いくつかの実施例において、多層積層体64を形成することにより、最下層はn型ナノFETに適する半導体層である。
第1の半導体材料と第2の半導体材料とは、互いに高いエッチング選択性を有する材料であってもよい。このように、第1半導体材料の第1半導体層51を除去して第2半導体材料の第2半導体層53を顕著に除去せず、それにより第2半導体層53をパターン化してナノFETのチャネル領域を形成することを可能にする。同様に、第2半導体層53を除去しかつ第1半導体層51をパターン化してチャネル領域を形成する実施例において、半導体材料の第1半導体層51を顕著に除去せずに第2半導体材料の第2半導体層53を除去することができ、それにより第1半導体層51をパターン化してナノFETのチャネル領域を形成することを可能にする。
図3に示すとおり、いくつかの実施例によれば、フィン66は基板50内に形成され、かつナノ構造55は多層積層体64内に形成される。いくつかの実施例において、多層積層部品64及び基板50内の溝をエッチングすることによりそれぞれ多層積層部品64及び基板50内にナノ構造55及びフィン66を形成することができる。エッチングは任意の許容可能なエッチングプロセスであってもよく、例えば反応性イオンエッチング(RIE)、中性ビームエッチング(NBE)等又はそれらの組み合わせである。エッチングは異方性であってもよい。多層積層体64をエッチングすることによりナノ構造55を形成することは第1半導体層51からさらに第1ナノ構造52A~52C(第1ナノ構造52と総称する)を限定し、かつ第2半導体層53から第2ナノ構造54A~54C(第2ナノ構造54と総称する)を限定することができる。第1ナノ構造体52及び第2ナノ構造体54をまとめてナノ構造体55と呼ぶことができる。
フィン66及びナノ構造55は、任意の適切な方法によりパターニングされ得る。例えば、一種以上のフォトリソグラフィプロセス(二重パターン化又は多重パターン化プロセスを含む)を使用してフィン66及びナノ構造55をパターン化することができる。一般的に、二重パターン化又は多重パターン化プロセスはフォトリソグラフィと自己整合プロセスを結合し、それにより例えば間隔が単一の直接フォトリソグラフィ法を使用して取得可能な間隔より小さいパターンを作成することを可能にする。例えば、一つの実施例において、基板の上方に犠牲層を形成し、かつフォトリソグラフィプロセスを用いてパターン化を行う。パターニングされた犠牲層の横に、セルフアライメントプロセスを用いてスペーサを形成する。その後に犠牲層を除去し、その後に残りのスペーサを用いてフィン66をパターン化することができる。
説明の目的のために、図3はn型領域50N内のフィン66とp型領域50P内のフィン66を実質的に等しい幅を有するように示す。いくつかの実施例において、n型領域50N内のフィン66の幅はp型領域50P内のフィン66の幅より大きいか又は小さくてもよい。また、各フィン66及びナノ構造55はプロセス全体において一致する幅を有するように示されるが、他の実施例において、フィン66及び/又はナノ構造55は円錐形側壁を有することができ、それにより各フィン66及び/又はナノ構造55のそれぞれの幅は基板50に向かう方向に連続的に増大する。このような実施例において、ナノ構造55はそれぞれ異なる幅を有しかつ台形であってもよい。
図4において、フィン66に隣接してシャロートレンチアイソレーション(STI)領域68が形成される。STI領域68は、基板50、フィン66及びナノ構造55の上方、隣接するフィン66の間に絶縁材料を堆積することにより形成することができる。絶縁材料は酸化物であってもよく、例えば酸化シリコン、窒化物等又はそれらの組み合わせであり、かつ高密度プラズマCVD(HDP-CVD)、流動性CVD(FCVD)等又はそれらの組み合わせにより形成することができる。その他、任意の工程で形成された絶縁性材料を用いてもよい。示された実施例において、絶縁材料はFCVDプロセスにより形成された酸化シリコンである。絶縁材料を形成すると、アニールプロセスを実行することができる。実施例において、絶縁材料を形成することにより、過剰な絶縁材料がナノ構造55を覆う。絶縁材料は単層として示されるが、いくつかの実施例は複数の層を利用することができる。例えば、いくつかの実施例において、まず基板50¥フィン66及びナノ構造55の表面に沿ってパッド(単独に示されない)を形成することができる。その後、パッドの上方に上記充填材料のような充填材料を形成することができる。
次に除去プロセスを絶縁材料に応用してナノ構造55の上方の過剰な絶縁材料を除去する。いくつかの実施例において、化学機械研磨(CMP)のような平坦化プロセス、エッチバックプロセス、それらの組み合わせなどを利用することができる。平坦化プロセスはナノ構造55を露出させることにより、平坦化プロセスが完了した後、ナノ構造55の頂面は絶縁材料の頂面と同一平面にある。
その後、絶縁材料を後退させてSTI領域68を形成する。絶縁材料を凹ませることにより、n型領域50N及びp型領域50P内のフィン66の上部は隣接するSTI領域68の間から突出する。また、STI領域68の頂面は図に示すような平坦表面、凸表面、凹面(例えば凹部)又はそれらの組み合わせを有することができる。STI領域68の上面は、適宜エッチングにより平坦、凸及び/または凹に形成することができる。STI領域68は許容可能なエッチングプロセスを使用して凹むことができ、例えば絶縁材料の材料に対して選択的なエッチングプロセス(例えば、フィン66及びナノ構造55の材料より速い速度で絶縁材料の材料をエッチングする)を有する。例えば、希フッ酸(dHF)酸のような酸化物を用いて除去することができる。
なお、図2-図4に関して上述した工程は、フィン66及びナノ構造55の形成方法の一例に過ぎない。いくつかの実施例において、マスク及びエピタキシャル成長プロセスを使用してフィン66及び/又はナノ構造55を形成することができる。例えば、基板50の上面の上方に誘電体層を形成することができ、かつ誘電体層エッチング溝を貫通して下面の基板50を露出させることができる。溝内にエピタキシャル構造をエピタキシャル成長させることができ、かつ誘電体層を凹ませることができ、エピタキシャル構造を誘電体層から突出させてフィン66及び/又はナノ構造55を形成する。エピタキシャル構造は上記交互の半導体材料、例えば第1半導体材料及び第2半導体材料を含むことができる。エピタキシャル成長エピタキシャル構造のいくつかの実施例において、エピタキシャル成長の材料は成長中にインサイチュでドープされてもよく、これは前及び/又は後の注入を除去することができ、インサイチュと注入ドープを一緒に使用することができる。
また、説明の目的のみのために、第1半導体層51(及び得られた第1ナノ構造52)及び第2半導体層53(及び得られた第2ナノ構造54)は本明細書で示されかつp型領域50P及びn型領域50Nに同じ材料を含むと説明される。このように、いくつかの実施例において、第1半導体層51及び第2半導体層53のうちの一つ又は二つは異なる材料であってもよく、又は異なる順序でp型領域50P及びn型領域50Nに形成されてもよい。
また図4において、フィン66、ナノ構造55及び/又はSTI領域68に適切なウェル(単独に示されない)を形成することができる。異なるウェル型を有する実施例において、フォトレジスト又は他のマスク(単独に図示せず)を使用してn型領域50N及びp型領域50Pのための異なる注入ステップを実現することができる。例えば、n型領域50N及びp型領域50P内のフィン66及びSTI領域68の上方にフォトレジストを形成することができる。このフォトレジストをパターニングしてp型領域50Pを露出させる。スピンコート技術を用いてフォトレジストを形成することができ、かつ許容可能なフォトリソグラフィ技術を用いてパターン化を行うことができる。フォトレジストがパターン化されると、p型領域50Pにn型不純物の注入を行い、かつフォトレジストをマスクとして用いてn型不純物がn型領域50Nに注入されることを実質的に防止することができる。n型不純物は該領域に注入されたリン、ヒ素、アンチモン等であってもよく、その濃度は約1013原子/cm~約1014原子/cmの範囲内にある。注入した後、例えば許容可能なアッシングプロセスによりフォトレジストを除去する。
p型領域50Pを注入した後又は前に、p型領域50P及びn型領域50N内のフィン66、ナノ構造55及びSTI領域68の上方にフォトレジスト又は他のマスク(単独に図示せず)を形成する。このフォトレジストをパターニングしてn型領域50Nを露出させる。スピンコート技術を用いてフォトレジストを形成することができ、かつ許容可能なフォトリソグラフィ技術を用いてパターン化を行うことができる。フォトレジストがパターン化されると、n型領域50Nにn型不純物の注入を実行することができ、かつフォトレジストをマスクとして用いてp型不純物がp型領域50Pに注入されることを実質的に防止することができる。p型不純物は該領域に注入されたホウ素、フッ化ホウ素、インジウム等であってもよく、その濃度は約1013原子/cm~約1014原子/cmの範囲内にある。注入した後、例えば許容可能なアッシングプロセスによりフォトレジストを除去することができる。
n型領域50N及びp型領域50Pを注入した後、アニールを実行して注入ダメージを修復し、かつ注入されたp型及び/又はn型不純物を活性化することができる。いくつかの実施例において、エピタキシャルフィンの成長材料は成長中にインサイチュでドープされてもよく、これは注入を除去することができ、インサイチュと注入ドープを一緒に使用することができる。
図5において、フィン66及び/又はナノ構造55に擬似誘電体層70を形成する。擬似誘電体層70は例えば酸化シリコン、窒化シリコン、それらの組み合わせ等であってもよく、かつ許容可能な技術に基づいて堆積するか又は熱成長することができる。ダミー誘電体層70の上方にダミーゲート層72が形成され、かつダミーゲート層72の上方にマスク層74が形成される。ダミーゲート層72はダミー誘電体層70の上方に堆積することができ、次に例えばCMPにより平坦化される。マスク層74は、ダミーゲート層72上に成膜することができる。ダミーゲート層72は導電性又は非導電性材料であってもよく、かつ非晶質シリコン、多結晶シリコン(polysilicon)、多結晶シリコンゲルマニウム(poly-SiGe)、金属窒化物、金属シリサイド、金属酸化物及び金属で構成されたグループから選択することができる。ダミーゲート層72は、物理的気相成長法(PVD法)、CVD法、スパッタ法、または、選択された材料を堆積するための他の手法により堆積することができる。ダミーゲート層72は、分離領域のエッチングからのエッチング選択比が高い他の材料で形成されていてもよい。マスク層74は、例えば、窒化シリコンまたは酸窒化シリコンなどからなる。この例では、n型領域50N及びp型領域50Pに単一のダミーゲート層72及び単一のマスク層74を形成する。注意すべきことは、説明の目的のみのために、擬似誘電体層70はフィン66及びナノ構造55のみを覆うように示される。いくつかの実施例において、ダミー誘電体層70を堆積してダミー誘電体層70がSTI領域68を覆うことにより、ダミー誘電体層70がダミーゲート層72とSTI領域68との間に延在する。
図6A乃至図37Cは、実施例デバイスの製造における各付加工程を示している。図6A-図37Cは、n型領域50Nまたはp型領域50P内の構成要素を示している。図6A~図6Cにおいて、許容可能なフォトリソグラフィ及びエッチング技術を用いてマスク層74をパターン化して(図5参照)マスク78を形成することができる。次にマスク78のパターンをダミーゲート層72及びダミー誘電体層70に転写することにより、それぞれダミーゲート76及びダミーゲート誘電体71を形成することができる。ダミーゲート76は、フィン66のチャネル領域を覆っている。マスク78のパターンは、ダミーゲート76と隣接するダミーゲート76とを物理的に分離するために用いられてもよい。ダミーゲート76の長手方向は、フィン66の長手方向と略直交していてもよい。
図7A~図7Cにおいて、図6A~図6Cに示す構造の上方に第1スペーサ層80及び第2スペーサ層82を形成する。続いて、第1スペーサ層80及び第2スペーサ層82をパターニングして、セルフアラインのソース/ドレイン領域を形成するためのスペーサとして機能させる。図7A~図7Cにおいて、第1スペーサ層80はSTI領域68の上面と、フィン66、ナノ構造55及びマスク78の上面及び側壁と、ダミーゲート76及びダミーゲート誘電体71の側壁とに形成される。第2スペーサ層82は、第1スペーサ層80上に堆積されている。第1スペーサ層80は熱酸化などの技術を用いて酸化シリコン、窒化シリコン、酸窒化シリコンなどで形成されてもよく、又はCVD、ALDなどで堆積されてもよい。第2スペーサ層82は第1スペーサ層80の材料と異なるエッチングレートを有する材料で形成されてもよく、例えば酸化シリコン、窒化シリコン、酸窒化シリコン等であり、かつCVD、ALD等により堆積することができる。
第1スペーサ層80を形成した後かつ第2スペーサ層82を形成する前に、低濃度ドープ用のソース/ドレイン(LDD)領域(単独に図示せず)の注入を実行することができる。異なるデバイスタイプを有する実施例において、上記図4で説明した注入と同様に、n型領域50Nの上方にフォトレジストなどのマスクを形成することができ、同時にp型領域50Pを露出させ、かつp型領域50Pにおいて適切なタイプ(例えば、p型)の不純物を露出したフィン66及びナノ構造55に注入することができる。その後、マスクを除去してもよいその後、n型領域50Nを露出させると同時にp型領域50Pの上方にフォトレジストなどのマスクを形成することができ、かつn型領域50Nにおいて適切なタイプ(例えば、n型)の不純物を露出されたフィン66及びナノ構造55に注入することができる。その後、マスクを除去してもよいn型不純物は前に述べた任意のn型不純物であってもよく、かつp型不純物は前に述べた任意のp型不純物であってもよい。低濃度のソース/ドレイン領域の不純物濃度は、1×1015atoms/cm-1×1019atoms/cm程度とすることができる。アニールは、注入ダメージを修復し、注入された不純物を活性化するために用いる
図8A~図8Cにおいて、第1スペーサ層80及び第2スペーサ層82をエッチングして第1スペーサ層81及び第2スペーサ層83を形成する。以下により詳細に説明するように、第1スペーサ層81及び第2スペーサ層83は後続に形成されたソースドレイン領域を自己整合し、かつ後続の処理期間にフィン66及び/又はナノ構造55の側壁を保護するために用いられる。等方性エッチングプロセス(例えば、ウェットエッチングプロセス)、異方性エッチングプロセス(例えば、ドライエッチングプロセス)などの適切なエッチングプロセスを用いて第1スペーサ層80及び第2スペーサ層82をエッチングすることができる。いくつかの実施例において、第2スペーサ層82の材料は第1スペーサ層80の材料と異なるエッチング速度を有することにより、第1スペーサ層80は第2スペーサ層82をパターン化する時にエッチング停止層として使用することができ、かつ第2スペーサ層82は第1スペーサ層80をパターン化する時にマスクとして使用することができる。例えば、異方性エッチングプロセスを用いて第2スペーサ層82をエッチングすることができ、ここで、第1スペーサ層80はエッチング停止層として用いられ、ここで、第2スペーサ層82の残りの部分は第2スペーサ層83を形成し、図8Bに示すとおりである。その後、第2スペーサ層83は第1スペーサ層80の露出部分をエッチングすると同時にマスクとして用いられ、それにより図8B及び図8Cに示すような第1スペーサ層81を形成する。
図8Bに示すように、第1スペーサ層81及び第2スペーサ層83はフィン66及び/又はナノ構造55の側壁に配置される。図8Cに示すように、いくつかの実施例において、マスク78、ダミーゲート76及びダミーゲート誘電体71に隣接する第1スペーサ層80の上方から第2スペーサ層82を除去し、かつ第1スペーサ層81をマスク78、ダミーゲート76及びダミーゲート誘電体60の側壁に配置することができる。他の実施例において、第2スペーサ層82の一部はマスク78、ダミーゲート76及びダミーゲート誘電体71に隣接して第1スペーサ層80の上方に残すことができる。
注意すべきことは、以上の開示はスペーサ及びLDD領域を形成するプロセスを全体的に説明することである。その他の手順や順序が用いられてもよい。例えば、より少ない又は付加的なスペーサを使用することができ、異なるステップ順序(例えば、第2スペーサ層82を堆積する前に第1スペーサ層81をパターン化することができる)を使用することができ、付加的なスペーサ等を形成し除去することができる。また、異なる構造及びステップを使用してn型及びp型デバイスを形成することができる。
図9A~図9Cにおいて、いくつかの実施例によれば、フィン66、ナノ構造55及び基板50に第1溝86及び第2溝87を形成する。その後に第1溝86内にエピソース/ドレイン領域を形成し、かつその後に第2溝87内に第1エピタキシャル材料及びエピソース/ドレイン領域を形成する。第1溝86及び第2溝87は第1ナノ構造52及び第2ナノ構造54を貫通し、かつ基板50に延伸することができる。いくつかの実施例において、STI領域58の頂面は第1溝86の底面と同一平面にあることができる。いくつかの実施例において、フィン66をエッチングすることにより、第1溝86の底面がSTI領域68等の頂面の下方に配置される。第2の溝87の底面は、第1の溝86の底面及びSTI領域68の上面よりも下方に配置されていてもよい。RIE、NBE等の異方性エッチングプロセスを用いて、フィン66、ナノ構造55及び基板50をエッチングすることにより、第1の溝86及び第2の溝87を形成することができる。第1溝86及び第2溝87を形成するためのエッチングプロセスの間に、第1スペーサ層81、第2スペーサ層83及びマスク78はフィン66、ナノ構造55及び基板50の部分をマスキングする。単一のエッチングプロセス又は複数のエッチングプロセスを用いてナノ構造55及び/又はフィン66の各層をエッチングすることができる。第1溝86及び第2溝87が所望の深さに達した後、タイミングエッチングプロセスを使用してエッチングを停止することができる。第2の溝87は、第1の溝86をエッチングする工程と同様の工程と、第1の溝86をエッチングする前または後の追加のエッチング工程とによってエッチングすることができる。いくつかの実施例において、第2溝87のための付加的なエッチングプロセスを実行すると同時に、第1溝86に対応する領域をマスクすることができる。
図10A~図10Cにおいて、第1溝86及び第2溝87により露出された第1半導体材料(例えば、第1ナノ構造52)で形成された多層積層部品64の層の側壁の一部をエッチングすることにより、側壁溝88を形成する。図10Cにおいて隣接する側壁溝88の第1ナノ構造52の側壁を直線状に示すが、側壁は凹状又は凸状であってもよい。サイドウォールのエッチングには、ウェットエッチング等の等方性エッチング法を用いることができる。第1ナノ構造52が例えばSiGeを含みかつ第2ナノ構造54が例えばSi又はSiCを含む実施例において、水酸化テトラメチルアンモニウム(TMAH)、水酸化アンモニウム(NHOH)等のドライエッチングプロセスを用いて第1ナノ構造52の側壁をエッチングすることができる。
図11A~図11Dにおいて、第1内側スペーサ90は側壁溝88内に形成される。第1の内部スペーサ90は、図10(a)-図10(c)に示す構造体上に、別途図示しない内部スペーサ層を成膜することにより形成することができる。第1の内部スペーサ90は、後に形成されるソース/ドレイン領域とゲート構造との間の分離部材として機能する。以下により詳細に説明するように、第1溝86及び第2溝87内にソース/ドレイン領域及びエピタキシャル材料を形成し、第1ナノ構造52を対応するゲート構造に置き換える。
内側スペーサ層は、CVD、ALD等のコンフォーマル成膜法により成膜することができる。内部スペーサ層は窒化ケイ素又は酸窒化ケイ素などの材料を含むことができるが、任意の適切な材料を利用することができ、例えばk値が約3.5より小さい低誘電率(low-k)材料である。そして、内側スペーサ層を異方的にエッチングして第1内側スペーサ90を形成してもよい。第1内部スペーサ90の外側壁は第2ナノ構造54の側壁と同一平面にあるように示されるが、第1内部スペーサ90の外側壁は第2ナノ構造54の側壁を超えるか又は該側壁から凹むように延伸することができる。
また、図11Cにおいて第1内側スペーサ90の外側壁は直線であるが、第1内側スペーサ90の外側壁は凹状又は凸状であってもよい。例として、図11Dは以下の実施例を示し、該実施例において第1ナノ構造52の側壁が凹み、第1内部スペーサ90の外側壁が凹み、かつ第1内部スペーサ90が第2ナノ構造54の側壁から凹む。また、RIE、NBE等の異方性エッチング処理により、内部スペーサ層をエッチングしてもよい。第1内部スペーサ90は後続のエッチングプロセス(例えばゲート構造を形成するためのエッチングプロセス)の後続に形成されたソース/ドレイン領域(例えば、以下に図12A~図12Eに関して説明されたエピソース/ドレイン領域92)への損傷を防止するために用いられる。
図12A~図12Eにおいて、第2溝87内に第1エピタキシャル材料91を形成し、かつ第1溝86及び第2溝87内にエピソース/ドレイン領域92を形成する。いくつかの実施例において、第1エピタキシャル材料91は犠牲材料であってもよく、該犠牲材料を除去して裏面貫通孔(例えば以下に図26A~図26Dを参照して説明する裏面貫通孔130)を形成する。第1エピタキシャル材料91を成長させることにより、第1エピタキシャル材料91の上面が第1溝86の底面と同一平面にある(図11A~図11Dに示すとおりである)。しかしながら、いくつかの実施例において、第1エピタキシャル材料91の頂面は第1溝86の底面の上方又は下方に配置されてもよい。第2の溝87には、化学気相成長(CVD)、原子層堆積(ALD)、気相成長(PPE)、分子線成長(MBE)等のプロセスを用いて、第1のエピタキシャル材料91をエピタキシャル成長させることができる。第1エピタキシャル材料91は任意の許容可能な材料を含むことができ、例えばシリコンゲルマニウムなどである。第1エピタキシャル材料91はエピソース/ドレイン領域92、基板50及び誘電体層(例えば、図24A~図24Cに関して後述するSTI領域68及び第2誘電体層125)に対して高いエッチング選択性を有する材料で形成されてもよい。このように、第1エピタキシャル材料91を除去しかつそれを裏側貫通孔に置き換えることができ、エピソース/ドレイン領域92及び誘電体層を顕著に除去しない。
次に、第1溝86内でかつ第2溝87内の第1エピタキシャル材料91の上方にエピソース/ドレイン領域92を形成する。いくつかの実施例において、エピソース/ドレイン領域92は第2ナノ構造54に応力を印加することができ、それにより性能を向上させる。図12Cに示すように、第1溝86及び第2溝87内にエピソース/ドレイン領域92を形成することにより、各ダミーゲート76はエピソース/ドレイン領域92の対応する隣接する対の間に配置される。いくつかの実施例において、第1スペーサ81はエピソース/ドレイン領域92とダミーゲート76を分離するために用いられ、かつ第1内部スペーサ90はエピソース/ドレイン領域92とナノ構造55を適切な横方向距離に分離するために用いられ、それによりエピソース/ドレイン領域92は得られたナノFETの後続に形成されたゲートと短絡しない。
n型領域50N(例えば、NMOS領域)におけるエピソース/ドレイン領域92はp型領域50P(例えば、PMOS領域)をマスクすることにより形成することができる。次に、n型領域50N内の第1溝86及び第2溝87内にエピタキシャルソース/ドレイン領域92をエピタキシャル成長させる。また、エピソース/ドレイン領域92は、n型ナノFETに適した任意の材料を含んでいてもよい。例えば、第2ナノ構造54がシリコンであれば、エピソース/ドレイン領域92は第2ナノ構造54に引張歪みを印加する材料を含むことができ、例えばシリコン、炭化ケイ素、リンドープ炭化ケイ素、リン化ケイ素等である。エピソース/ドレイン領域92はナノ構造55の対応する上面から突起した表面を有することができ、かつ小さい平面を有することができる。
p型領域50P(例えば、PMOS領域)におけるエピソース/ドレイン領域92はn型領域50N(例えば、NMOS領域)をマスクすることにより形成することができる。次に、p型領域50P内の第1溝86及び第2溝87内にエピタキシャルソース/ドレイン領域92をエピタキシャル成長させる。また、エピソース/ドレイン領域92には、p型ナノFETに適した任意の材料を用いることができる。例えば、第1ナノ構造52がシリコンゲルマニウムであれば、エピソース/ドレイン領域92は第1ナノ構造52に圧縮歪みを印加する材料、例えばシリコンゲルマニウム、ホウ素ドープシリコンゲルマニウム、ゲルマニウム、ゲルマニウムスズ等を含むことができる。エピソース/ドレイン領域92はさらに多層スタック56の対応する表面から突出した表面を有することができ、かつ小さい平面を有することができる。
先に述べた低濃度のソース/ドレイン領域を形成するためのプロセスと同様に、ソース/ドレイン領域92、第1ナノ構造52、第2ナノ構造54及び/又は基板50にドーパントを注入してソース/ドレイン領域を形成し、その後にアニールを行うことができる。ソース/ドレイン領域の不純物濃度は、1×1019atoms/cm程度-1×1021atoms/cm程度とすることができる。ソース/ドレイン領域に用いられるn型及び/又はp型不純物は、上述したいずれの不純物であってもよい。いくつかの実施例において、成長中にエピタキシャルソース/ドレイン領域92をインサイチュでドープすることができる。
n型領域50N及びp型領域50Pにエピタキシャルソース/ドレイン領域92を形成するためのエピタキシャルプロセスのため、エピタキシャルソース/ドレイン領域92の上面はナノ構造55の側壁を超えて横方向に外向きに広がる小さな平面を有する。いくつかの実施例において、エピプロセスが完了した後、隣接するエピソース/ドレイン領域92は分離を保持し、図12Bに示すとおりである。いくつかの実施例において、ファセットにより同一ナノFETの隣接するエピソース/ドレイン領域92が合併され、図12Dに示すとおりである。図12B及び図12Dに示す実施例において、第1スペーサ層81はSTI領域68の上面に形成することができ、それによりエピタキシャル成長を阻止する。いくつかの他の実施例において、第1スペーサ層81はナノ構造55の側壁の部分を覆うことができ、それによりエピタキシャル成長をさらに阻止する。いくつかの他の実施例において、第1スペーサ層81を形成するためのスペーサのエッチングを調整することにより、スペーサ材料を除去してエピタキシャル成長領域がSTI領域58の表面まで延伸することを可能にする。
また、エピタキシャルウエハ/ドレイン領域92は、一又は複数の半導体材料層を有していてもよい。例えば、エピソース/ドレイン領域92は第1半導体材料層92A、第2半導体材料層92B及び第3半導体材料層92Cを含むことができる。また、エピソース・ドレイン領域92には、任意の数の半導体材料層を用いることができる。第1半導体材料層92A、第2半導体材料層92B及び第3半導体材料層92Cは、それぞれ異なる半導体材料で形成され、異なるドーパント濃度でドープされていてもよい。いくつかの実施例において、第1半導体材料層92Aは第2半導体材料層92Bより小さくかつ第3半導体材料層92Cより大きいドーパント濃度を有することができる。エピソース/ドレイン領域92が三つの半導体材料層を含む実施例において、第1半導体材料層92Aを堆積することができ、第1半導体材料層92Aの上方に第2半導体材料層92Bを堆積することができ、かつ第2半導体材料層92Bの上方に第3半導体材料層92Cを堆積することができる。
図12Eは以下の実施例を示し、該実施例において第1ナノ構造52の側壁が凹状であり、第1内部スペーサ90の外側壁が凹状であり、かつ第1内部スペーサ90が第2ナノ構造54の側壁から凹状である。図12Eに示すように、エピソース/ドレイン領域92は第1内部スペーサ90と接触しかつ第2ナノ構造54の側壁を延伸して通過するように形成することができる。
図13A~図13Cにおいて、第1層の間の誘電体(ILD)96は図12A~図12Cに示す構造の上方に堆積される。第1ILD96は誘電体材料で形成されてもよく、かつCVD、プラズマ強化CVD(PECVD)又はFCVDなどの任意の適切な方法で堆積されてもよい。誘電体材料は、リンシリケートガラス(PSG)、ボロンシリケートガラス(BSG)、ボロンドープリンシリケートガラス(BPSG)、ノンドープシリケートガラス(USG)などである。その他、任意の工程で形成された絶縁性材料を用いてもよい。いくつかの実施例において、接触エッチング停止層(CESL)94は第1ILD96とエピソース/ドレイン領域92、マスク78と第1スペーサ層81との間に配置される。CESL94はエッチングレートが上記第1ILD96の材料のエッチングレートと異なる誘電体材料を含むことができ、例えば窒化ケイ素、酸化ケイ素、酸窒化ケイ素等である。
図14A~図14Cにおいて、CMPのような平坦化プロセスを実行して第1ILD96の頂面をダミーゲート76又はマスク78の頂面と同一平面にすることができる。平坦化プロセスはさらにダミーゲート76上のマスク78、及び第1スペーサ層81のマスク78に沿った側壁の一部を除去することができる。平坦化プロセスの後、ダミーゲート76、第1スペーサ層81及び第1ILD96の頂面はプロセス変化内に同一平面にある。したがって、ダミーゲート76の上面は第1ILD96により露出される。いくつかの実施例において、マスク78を保留することができ、この場合に平坦化プロセスは第1ILD96の頂面をマスク78及び第1スペーサ層81の頂面と面一にする。
図15A~図15Cにおいて、一つ又は複数のエッチングステップにおいてダミーゲート76及びマスク78(存在すれば)を除去し、それにより第3溝98を形成する。第3の溝98内のダミーゲート絶縁膜60の部分も除去される。いくつかの実施例において、異方性ドライエッチングプロセスによりダミーゲート76及びダミーゲート誘電体60を除去する。例えば、エッチングプロセスは反応ガスを使用するドライエッチングプロセスを含むことができ、該反応ガスは第1ILD96又は第1スペーサ層81より速い速度でダミーゲート76を選択的にエッチングする。第3溝98のそれぞれはナノ構造55の部分を露出及び/又は被覆し、これらの部分はその後に完成したナノFETにおいてチャネル領域として用いられる。チャネル領域として機能するナノ構造55の一部は、互いに隣接するソース・ドレイン領域92の間に配置されている。除去中に、ダミーゲート76をエッチングする時に、ダミーゲート誘電体60はエッチングストップ層として使用することができる。そして、ダミーゲート76を除去した後に、ダミーゲート絶縁膜60を除去してもよい。
図16A~図16Cにおいて、第1ナノ構造52を除去して第3溝98を延伸する。第1ナノ構造52の材料に対して選択性を有するエッチャントを用いてウェットエッチングなどの等方性エッチングプロセスを実行することにより第1ナノ構造52を除去することができ、第2ナノ構造54、基板50、STI領域58は第1ナノ構造52に比べて相対的にエッチングされないことを保持する。第1ナノ構造52が例えばSiGeを含みかつ第2ナノ構造54A~54Cが例えばSi又はSiCを含む実施例において、水酸化テトラメチルアンモニウム(TMAH)、水酸化アンモニウム(NHOH)を用いて第1ナノ構造52を除去することができる。
図17A~図17Cにおいて、ゲート誘電体層100及びゲート電極102を形成してゲートを取り替えるために用いられる。ゲート誘電体層100は、第3の溝98内にコンフォーマルに堆積される。ゲート誘電体層100は基板50の上面及び側壁と第2ナノ構造54の上面、側壁及び底面に形成することができる。ゲート誘電体層100は、第1のILD96、CESL94、第1のスペーサ層81及びSTI領域68の上面、並びに、第1のスペーサ層81及び第1の内部スペーサ90の側壁にも堆積され得る。
いくつかの実施例によれば、ゲート誘電体層100は一つ又は複数の誘電体層を含み、例えば酸化物、金属酸化物等又はそれらの組み合わせである。例えば、いくつかの実施例において、ゲート誘電体は酸化シリコン層及び酸化シリコン層の上方の金属酸化物層を含むことができる。いくつかの実施例において、ゲート誘電体層100は高k誘電体材料を含み、かつこれらの実施例において、ゲート誘電体層100は約7.0より大きいk値を有することができ、かつハフニウム、アルミニウム、ジルコニウム、ランタン、マンガン、バリウム、チタン、鉛及びそれらの組み合わせの金属酸化物又はケイ酸塩を含むことができる。ゲート誘電体層100の構造は、n型領域50Nとp型領域50Pとで同一であっても異なっていてもよい。ゲート誘電体層100の形成方法としては、分子線蒸着(MBD)、ALD、PECVD等が挙げられる。
ゲート電極102はそれぞれゲート誘電体層100の上方に堆積され、かつ第3溝98の残りの部分を充填する。ゲート電極102は金属を含有する材料を含むことができ、例えば窒化チタン、酸化チタン、窒化タンタル、炭化タンタル、コバルト、ルテニウム、アルミニウム、タングステン、それらの組み合わせ又はそれらの多層である。例えば、図17A及び図17Cに単層ゲート電極102を示すが、ゲート電極102は任意の数のパッド層、説明された仕事関数調整層及び充填材料を含むことができる。ゲート電極102を構成する層の任意の組み合わせは隣接する第2ナノ構造54の間及び第2ナノ構造54Aと基板50との間のn型領域50N内にあり、かつ隣接する第1ナノ構造52の間のp型領域50P内に堆積することができる。
n型領域50N及びp型領域50P内のゲート誘電体層100の形成を同時に行うことができ、それにより各領域内のゲート誘電体層100が同じ材料で形成され、かつゲート電極102の形成を同時に行うことができ、それにより各領域内のゲート電極102が同じ材料で形成される。いくつかの実施例において、各領域におけるゲート誘電体層100は異なるプロセスにより形成されてもよく、それによりゲート誘電体層100は異なる材料及び/又は異なる数量を有する層であってもよく、及び/又は各領域におけるゲート電極102は異なるプロセスにより形成されてもよく、それによりゲート電極102は異なる材料及び/又は異なる数量を有する層であってもよい。異なるプロセスを使用する場合、様々なマスクステップを使用して適切な領域をマスクしかつ露出させることができる。
第3溝98を充填した後、CMPなどの平坦化プロセスを実行してゲート誘電体層100の過剰部分及びゲート電極102の材料を除去することができ、これらの過剰部分は第1ILD96の頂面の上方にある。これにより、ゲート電極102及びゲート誘電体層100の材料の残部が、ナノFETの置換ゲート構造を形成する。ゲート電極102及びゲート誘電体層100を総称して「ゲート構造」と呼ぶ場合がある。
図18A~図18Cにおいて、ゲート構造(ゲート誘電体層100及び対応する上のゲート電極102を含む)が凹んで、溝がゲート構造の上方と第1スペーサ層81の対向部分との間に直接形成される。一層又は複数層の誘電体材料(例えば窒化ケイ素、酸窒化ケイ素等)を含むゲートマスク104を溝に充填し、次に平坦化プロセスを行って誘電体材料の第1ILD96の上方に延在する過剰部分を除去する。後に形成されるゲートコンタクト(例えば、図20A-図20Cを参照して後述するゲートコンタクト114)は、ゲートマスク104を貫通して、凹んだゲート電極102の上面に接触する。
図18A~図18Cにさらに示すように、第2ILD106は第1ILD96の上方及びゲートマスク104の上方に堆積される。いくつかの実施例において、第2ILD106はFCVDにより形成された流動性膜である。いくつかの実施例において、第2ILD106はPSG、BSG、BPSG、USGなどの誘電体材料で形成され、かつCVD、PECVDなどの任意の適切な方法で堆積することができる。
図19A~図19Cにおいて、第2ILD106、第1ILD96、CESL94及びゲートマスク104をエッチングして第4溝108を形成し、該第4溝はエピソース/ドレイン領域92及び/又はゲート構造を露出する。第4の溝108は、RIE、NBE等の異方性エッチングプロセスを用いてエッチングすることにより形成することができる。いくつかの実施例において、第1エッチングプロセスを使用して第2ILD106及び第1ILD96を貫通して第4溝108をエッチングすることができる。しかしながら、第2エッチングプロセスを使用してゲートマスク104を貫通して該第4溝をエッチングすることができる。かつその後に第3エッチングプロセスを使用してCESL94を貫通して該第4溝をエッチングすることができる。第2ILD106の上方にフォトレジストのようなマスクを形成しかつ該マスクをパターン化することにより、第1エッチングプロセス及び第2エッチングプロセスから第2ILD106の部分をマスキングすることができる。いくつかの実施例において、エッチングプロセスはオーバーエッチングする可能性があり、したがって、第4溝108はエピソース/ドレイン領域92及び/又はゲート構造内に延伸し、かつ第4溝108の底部はエピソース/ドレイン領域92及び/又はゲート構造と面一(例えば、基板50と同じレベルにあるか又はそれから同じ距離を有する)又はそれより低い(例えば、基板50により近い)。図19Cは第4溝108が同じ断面でエピソース/ドレイン領域92及びゲート構造を露出することを示すが、各実施例において、エピソース/ドレイン領域92及びゲート構造は異なる断面で露出することができ、それにより後続に形成されたコンタクトを短絡させるリスクを低減する。
第4の溝108を形成した後に、エピソース/ドレイン領域92の上方に第1のシリサイド領域110を形成する。いくつかの実施例において、まず下のエピソース/ドレイン領域92の半導体材料(例えば、シリコン、シリコンゲルマニウム、ゲルマニウム)と反応可能な金属(単独に図示せず)を堆積することによりエピソース/ドレイン領域92の露出部分の上方にシリサイド又はゲルマニウム化物領域110(例えばニッケル、コバルト、チタン、タンタル、白金、タングステン、他の貴金属、他の高融点金属、希土類金属又はその合金)を形成し、次に熱アニールプロセスを実行することにより第1シリサイド領域110を形成する。その後、堆積した金属の未反応部分を、例えばエッチングにより除去する。第1シリサイド領域110をシリサイド領域と呼ぶが、第1シリサイド領域110はゲルマニウム化合物領域又はシリコンゲルマニウム化合物領域(例えば、シリサイド及びゲルマニウム化合物を含む領域)であってもよい。実施例において、第1シリサイド領域110はTiSiを含みかつ約2nm及び約10nmの範囲の厚さを有する。
図20A~図20Cにおいて、ソース/ドレインコンタクト112及びゲートコンタクト114(コンタクトプラグとも呼ばれる)は第4溝108内に形成される。ソース/ドレインコンタクト112及びゲートコンタクト114はそれぞれ一つの層又は複数の層を含むことができ、例えばバリア層、拡散層及び充填材料である。例えば、いくつかの実施例において、ソース/ドレインコンタクト112及びゲートコンタクト114はそれぞれバリア層及び導電性材料を含み、かつそれぞれ以下の導電性部材(例えば、ゲート電極102及び/又は第1電極シリサイド領域110)に電気的に結合される。ゲートコンタクト114はゲート電極102に電気的に結合され、かつソース/ドレインコンタクト112は第1シリサイド領域110に電気的に結合される。バリア層は、チタン、窒化チタン、タンタル、窒化タンタルなどを含むことができる。導電材は、銅、銅合金、銀、金、タングステン、コバルト、アルミニウム、ニッケル等であってもよい。CMP等の平坦化処理を行うことにより、第2のILD106の表面から過剰な材料を除去することができる。また、エピソース/ドレイン領域92、第2ナノ構造54及びゲート構造(ゲート誘電体層100及びゲート電極102を含む)を、トランジスタ構造109と総称する場合がある。トランジスタ構造109の前側上方に第1相互接続構造(例えば図21A~図21Cに関して後述する前側相互接続構造120)を形成することができ、かつトランジスタ構造109の背面側上方に第2相互接続構造(例えば図36A~図36Cに関して後述する裏側相互接続構造164)を形成することができる。トランジスタ構造109はナノFETを含むと説明したが、他の実施例は異なるタイプのトランジスタを含むトランジスタ構造109(例えば、平面FET、FinFET、薄膜トランジスタ(TFT)等)を含むことができる。
図20A~図20Cはエピソース/ドレイン領域92内のそれぞれに延伸するソース/ドレインコンタクト112を示すが、エピソース/ドレイン領域92内のあるエピソース/ドレイン領域からソース/ドレインコンタクト112を省略することができる。例えば、以下により詳細に説明するように、次に一つ又は複数のエピソース/ドレイン領域92の裏側により導電性部材(例えば、裏側貫通孔又は電源レール)を付着することができる。これらの特定のエピソース/ドレイン領域92に対して、ソース/ドレインコンタクト112は省略されてもよいか又は任意の上の導電線(例えば以下に図21A~図21Cを参照して説明された第1導電部材122)に電気的に接続されなくてもよい。
図20Dはいくつかの実施例に係る装置の図1の断面C-C’に沿う断面図を示す。図20Dの実施例は図20A~図20Cに関して説明した実施例と類似することができ、ここで同じ参照数字は同じプロセスを使用して形成された同じ素子を示す。しかしながら、図20Dにおいて、ソース/ドレインコンタクト112は複合構造を有することができ、かつそれぞれ第1ILD96における第1コンタクト112A及び第2ILD106における第2コンタクト112Bを含むことができる。いくつかの実施例において、第2ILD106を堆積する前に第1ILD96に第1接触部材112Aを形成することができる。第1コンタクト112Aは、第1ILD96の上面から凹んでいてもよい。第1接触部材112Aが凹んだ後、絶縁マスク117を堆積して第1接触部材112Aを覆うことができる。第1コンタクト112Aはタングステン(W)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、モリブデン(Mo)、ニッケル(Ni)、それらの組み合わせ等を含むことができ、かつ約1nm~約50nmの範囲の厚さ(例えば、対向する側壁の間に測定される)を有することができる。絶縁マスク117は、酸化シリコン(SiO)、ハフニウムシリサイド(HfSi)、シリコンオキシカーバイド(SiOC)、酸化アルミニウム(AlO)、ジルコニウムシリサイド(ZrSi)、酸窒化アルミニウム(AlON)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、酸化チタン(TiO)、酸化ジルコニウムアルミニウム(ZrAlO)、酸化亜鉛(ZnO)、酸化タンタル(TaO)、酸化ランタン(LaO)、酸化イットリウム(YO)、炭窒化タンタル(TaCN)、窒化シリコン(SiN)、炭酸窒化シリコン(SiOCN)、シリコン(Si)、窒化ジルコニウム(ZrN)、炭窒化シリコン(SiCN)またはこれらの組み合わせなどを含むことができる。いくつかの実施例において、絶縁マスク117の材料はゲートマスク104の材料と異なってもよく、それにより絶縁マスク117とゲートマスク104を互いに選択的にエッチングすることができる。このような方式で、第2接触部材112Bとゲート接触部材114は互いに独立して形成することができる。
次に、第2ILD106は絶縁マスク117及び第1接触部材112Aの上方に堆積され、上述したとおりである。第2ILD106を堆積した後、第2接触部材112Bを形成することができ、それは第2ILD106及び絶縁マスク117を貫通しかつ第1接触部材112Aに電気的に結合される。また、第2コンタクト112Bは、第1コンタクト112A内に部分的に延在し、第1コンタクト112A内に埋め込まれていてもよい。第2接触部材112Bはタングステン(W)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、モリブデン(Mo)、ニッケル(Ni)、それらの組み合わせ等を含むことができ、かつ約1nm~約50nmの範囲の厚さ(例えば、対向する側壁の間に測定される)を有することができる。第2接触部材112Bの厚さは第1接触部材112Aの厚さと同じであるか又は異なってもよく、かつ第2接触部材112Bの材料は第1接触部材112Aの材料と同じであるか又は異なってもよい。したがって、第1接触部材112A及び第2接触部材112Bを含む複合ソース/ドレインコンタクト112を形成することができる。説明を容易にするために、図20A~図20Cの実施例について以下の処理ステップを説明する。しかしながら、理解すべきことは、それらは同様に図20Dの実施例に適用される。いくつかの実施例において、ソース/ドレインコンタクト112の他の配置も可能である。
図21A-図37Cは、トランジスタ構造109上に前側相互接続構造及び裏側相互接続構造を形成する中間工程を示している。前側相互接続構造及び裏側相互接続構造は、基板50上に形成されたナノFETと電気的に接続された導電性部材を含んでいてもよい。図21A~図37Cにおいて、“A”の尾部の図で図1Aの線A-A’に沿った断面図を示し、“B”の尾部の図で図1Aの線B-B’に沿った断面図を示し、かつ“C”の尾部の図で図1Aの線C-C’に沿った断面図を示す。図21A-図37Cで説明した処理工程は、n型領域50N及びp型領域50Pに適用することができる。前記のように、裏側導電部材(例えば、裏側貫通孔又は電源レール)を一つ又は複数のエピソース/ドレイン領域92に接続することができる。このように、エピソース/ドレイン領域92からソース/ドレインコンタクト112を選択的に省略することができる。
図21A~図21Cにおいて、前側相互接続構造120は第2ILD106に形成される。前側相互接続構造120は前側相互接続構造と呼ばれてもよく、それはトランジスタ構造109の前側(例えば、トランジスタ構造の基板50に対向する上面のトランジスタ構造109を形成する一側)に形成されるためである。
前側相互接続構造120は、1つ又は複数の積層された第1誘電体層124に形成された1層又は複数層の第1導電部材122を有していてもよい。積層された第1誘電体層124のそれぞれは誘電体材料を含むことができ、例えば低k誘電体材料、超低k(ELK)誘電体材料などである。第1誘電体層124は、CVD、ALD、PVD、PECVD等の適宜のプロセスを用いて成膜することができる。
第1導電部材122は、導電性配線と、導電性配線層を接続する導電性貫通孔と、を含むことができる。導電性貫通孔は第1誘電体層124内の対応する第1誘電体層を延伸して貫通することにより、導電線の層間に垂直接続を提供することができる。第1導電部材122は、ダマシン法、デュアルダマシン法等の任意の工程により形成することができる。
いくつかの実施例において、インサートプロセスを用いて第1導電部材122を形成することができ、ここでフォトリソグラフィとエッチング技術の組み合わせを利用して対応する第1誘電体層124をパターン化して第1導電部材122の所望のパターンに対応する溝を形成する。選択可能な拡散バリア層及び/又は選択可能な接着層を堆積することができ、次に導電性材料で溝を充填することができる。バリア層に用いられる適切な材料はチタン、窒化チタン、酸化チタン、タンタル、窒化タンタル、酸化チタン、それらの組み合わせ等を含み、かつ導電性材料の適切な材料は銅、銀、金、タングステン、アルミニウム、それらの組み合わせ等を含む。実施例において、銅又は銅合金のシード層を堆積しかつ電気メッキにより溝を充填することにより第1導電部材122を形成することができる。化学機械平坦化(CMP)プロセス等は対応する第1誘電体層124の表面から過剰な導電材料を除去し、かつ第1誘電体層124及び第1導電部材122の表面を平坦化して後続の処理に用いることができる。
図21A-図21Cは、前側相互接続構造120における五層の第1導電部材122及び第1誘電体層124を示している。しかしながら、理解すべきことは、前側相互接続構造120は任意の数の第1誘電体層124内に配置された任意の数の第1導電部材122を含むことができる。前側相互接続構造120は、ゲートコンタクト114とソースドレインコンタクト112とを電気的に接続して機能回路を形成してもよい。いくつかの実施例において、前側相互接続構造120で形成された機能回路は論理回路、メモリ回路、画像センサ回路などを含むことができる。
図22A~図22Cにおいて、キャリア基板180は第1接合層182A及び第2接合層182B(接合層182と総称する)により前側相互接続構造120の頂面に接合される。キャリア基板180はガラスキャリア基板、セラミックキャリア基板、ウェハ(例えば、シリコンウェハ)などであってもよい。キャリア基板180は、後の処理工程において、完成したデバイスに構造支持を設けてもよい。
各実施例において、誘電体から誘電体への接合などの適切な技術を用いて担体基板180を前側相互接続構造120に接合することができる。誘電体/誘電体接合は、前側相互接続構造120上に第1接合層182Aを積層することを含んでもよい。いくつかの実施例において、第1接合層182AはCVD、ALD、PVDなどにより堆積された酸化シリコン(例えば、高密度プラズマ(HDP)酸化物など)を含む。第2接合層182Bは、例えばCVD法、ALD法、PVD法、熱酸化法などを用いて接合する前に、担体基板180の表面に形成された酸化物層であってもよい。第1接合層182A及び第2接合層182Bには、他の適切な材料を用いることができる。
誘電体接合工程は、第1接合層182A及び第2接合層182Bの一方又は双方に表面処理を施す工程をさらに含んでいてもよい。表面処理は、プラズマ処理を含んでもよい。プラズマ処理は、真空雰囲気中で行うことができる。プラズマ処理の後、表面処理はさらに一つ又は複数の接合層182に印加可能な洗浄プロセス(例えば、脱イオン水等で洗浄する)を含むことができる。次に、担体基板180と前側相互接続構造120を位置合わせし、かつ両者を互いに押し付けて担体基板180と前側相互接続構造120との予備接合を開始する。予備接合は室温(例えば、約21℃と約25℃との間)で行うことができる。予備接合の後、例えば前側相互接続構造120及びキャリア基板180を約170℃の温度に加熱することによりアニールプロセスを適用することができる。
また図22A~図22Cにおいて、担体基板180を前側相互接続構造120に接合した後、素子を反転することができ、それによりトランジスタ構造109の背面を上向きにする。トランジスタ構造体109の裏側とは、トランジスタ構造体109の表側とは反対側であってもよい。
図23A~図23Cにおいて、薄化プロセスを基板50の裏側に適用することができる。薄化プロセスは平坦化プロセス(例えば、機械研磨、CMPなど)、エッチバックプロセス、それらの組み合わせなどを含むことができる。薄化工程では、第1エピタキシャル材料91の前側相互接続構造120とは反対側の面を露出させることができる。また、薄化プロセスの後、基板50の一部をゲート構造(例えば、ゲート電極102及びゲート誘電体層100)及びナノ構造55の上方に残すことができる。図23A~図23Cに示すように、薄化プロセスの後に、基板50の裏側、第1エピタキシャル材料91の裏側、STI領域68の裏側及びフィン66の裏側は互いに同一平面にあることができる。
図24A~図24Cにおいて、フィン66及び基板50の残りの部分を除去し、かつこれらの部分を第2誘電体層125に置き換える。例えば等方性エッチングプロセス(例えば、ウェットエッチングプロセス)、異方性エッチングプロセス(例えば、ドライエッチングプロセス)などの適切なエッチングプロセスを用いてフィン66及び基板50をエッチングすることができる。エッチングプロセスはフィン66及び基板50の材料に対して選択性を有する(例えば、STI領域68、ゲート誘電体層100、エピソース/ドレイン領域92及び第1エピタキシャル材料91の材料より速い速度でフィン66及び基板50の材料をエッチングする)プロセスであってもよい。フィン66及び基板50をエッチングした後、STI領域68、ゲート誘電体層100、エピソース/ドレイン領域92及び第1エピタキシャル材料91の表面を露出させることができる。
次に第2誘電体層125をトランジスタ構造109の裏側の溝に堆積し、該溝はフィン66及び基板50を除去することにより形成される。また、STI領域68、ゲート誘電体層100及びエピソース/ドレイン領域92上に第2誘電体層125を堆積させてもよい。第2誘電体層125は、STI領域68、ゲート誘電体層100、エピソース/ドレイン領域92及び第1エピタキシャル材料91の表面に物理的に接触し得る。第2誘電体層125は、図18A-図18Cに関連して上述した第2ILD106と基本的に同様である。例えば、第2誘電体層125は類似の材料で形成されてもよく、かつ類似のプロセスを第2ILD106として用いて形成される。図24A~図24Cに示すように、CMPプロセス等を使用して第2誘電体層125の材料を除去することにより、第2誘電体層125の上面がSTI領域68及び第1エピタキシャル材料91の上面と同一平面にある。
図25A~図25Cにおいて、第1エピタキシャル材料91を除去して第5溝128を形成し、かつ第5溝128内に第2シリサイド領域129を形成する。適切なエッチングプロセスにより第1エピタキシャル材料91を除去することができ、該エッチングプロセスは等方性エッチングプロセスであってもよく、例えばウェットエッチングプロセスである。このエッチング処理により、第1エピタキシャル材料91の材料に対するエッチング選択性を高めることができる。このように、第1エピタキシャル材料91を除去することができ、第2誘電体層125、STI領域68又はエピソース/ドレイン領域92の材料を顕著に除去しない。第5の溝128は、STI領域68の側壁、エピソース/ドレイン領域92の裏面、及び第2誘電体層125の側壁を露出させることができる。
そして、エピソース・ドレイン領域92の裏面側の第5の溝128に第2のシリサイド領域129を形成することができる。第2シリサイド領域129は、図19A-図19Cを用いて説明した第1シリサイド領域110と同様でよい。例えば、第2シリサイド領域129は類似の材料で形成されてもよく、かつ類似のプロセスを第1シリサイド領域110として形成する。
図26A~図26Cにおいて、第5溝128内に裏側貫通孔130が形成される。裏側貫通孔130は第2誘電体層125及びSTI領域68をえ延伸して貫通することができ、かつ第2シリサイド領域129を介してエピソース/ドレイン領域92に電気的に結合することができる。バックサイドビア130は、図20A-図20Cに関して上述したソース/ドレインコンタクト112と同様であってよい。例えば、裏側貫通孔130は類似の材料で形成されてもよく、かつ類似のプロセスをソース/ドレインコンタクト112として形成する。バックサイドビア130は、例えば、コバルト(Co)、タングステン(W)、ルテニウム(Ru)、アルミニウム(Al)、モリブデン(Mo)、チタン(Ti)、窒化チタン(TiN)、チタンシリサイド(TiSi)、コバルトシリサイド(CoSi)、ニッケルシリサイド(NiSi)、銅(Cu)、窒化タンタル(TaN)、ニッケル(Ni)、窒化チタンシリコン(TiSiN)等を含むことができる。
図26Dはいくつかの実施例に係る装置の図1の断面C-C’に沿う断面図を示す。図26Dの実施例は図26A~図26Cに関連して説明された実施例と類似することができ、ここで同じ参照数字は同じプロセスを使用して形成された同じ素子を示す。しかしながら、図26Dにおいて、裏側貫通孔130が電気的に接続されたエピソース/ドレイン領域92Xの高さは裏側貫通孔130が電気的に接続されていないエピソース/ドレイン領域92Yの高さよりも小さい。いくつかの実施例において、エピソース/ドレイン領域92Xは第5溝128の形成期間にエッチバックされてもよく、図25A~図25Cに関して説明したとおりである。このように、裏側貫通孔130が電気的に接続されたエピソース/ドレイン領域92Xの高さは裏側貫通孔130が電気的に接続されていないエピソース/ドレイン領域92Yの高さより小さい。そして、このようにして、エピソース/ドレイン領域92A上に、第2シリサイド領域129及びバックサイドビア130を形成することができる。
図27A~図27Cにおいて、第2誘電体層125、STI領域68及び裏側貫通孔130の上方に第3誘電体層132を形成し、かつ第3誘電体層132の上方にフォトレジスト134を形成しかつそれをパターン化する。第3誘電体層132は誘電体材料又は絶縁材料を含むことができ、例えば窒化ケイ素(SiN)、炭化酸化ケイ素(SiOC)、酸化アルミニウム(AlO)、その組み合わせ又は多層等である。第3誘電体層132は、CVD、ALD、PVD、PECVD等の適宜のプロセスを用いて成膜することができる。第3誘電体層132の厚さは、10nm-25nm程度とすることができる。適切なプロセス(例えばスピンコーティングプロセス)を使用してフォトレジスト134を堆積し、かつ適切なフォトリソグラフィ技術を使用して該フォトレジストをパターン化することができる。フォトレジスト134をパターン化して第6溝136を形成することができ、該第6溝は第3誘電体層132の頂面の一部を露出させる。
図28A~図28Cにおいて、例えばウェット又はドライエッチング、RIE、NBE等又はそれらの組み合わせ等の許容可能なエッチングプロセスを使用してフォトレジスト134のパターンを第3誘電体層132に転写する。エッチングは異方性であってもよい。したがって、第6溝136を第3誘電体層132に移す。また図28A~図28Cにおいて、例えばウェットエッチングプロセス、ドライエッチングプロセス、平坦化プロセス、その組み合わせ等の許容可能なプロセスによりフォトレジスト134を除去することができる。
図29A~図29Cにおいて、第6溝136及び第3誘電体層132の上方に導電層140及び充填材料142を堆積して導電線143を形成する。導電層140は、シード層、密着層、バリア拡散層、これらの組み合わせ、または複数の層などであってもよい。導電層140は任意選択的であってもよく、かついくつかの実施例において省略されてもよい。導電層140は材料を含むことができ、例えばコバルト(Co)、タングステン(W)、ルテニウム(Ru)、アルミニウム(Al)、モリブデン(Mo)、チタン(Ti)、窒化チタン(TiN)、チタンシリサイド(TiSi)、コバルトシリサイド(CoSi)、ニッケルシリサイド(NiSi)、銅(Cu)、窒化タンタル(TaN)、ニッケル(Ni)、窒化チタンシリコン(TiSiN)、それらの組み合わせ等である。導電層140の厚さは、0.5nm-10nm程度とすることができる。導電層140は、例えば、CVD法、ALD法、PVD法などを用いて形成することができる。充填材料142は材料を含むことができ、例えばコバルト(Co)、タングステン(W)、ルテニウム(Ru)、アルミニウム(Al)、モリブデン(Mo)、チタン(Ti)、窒化チタン(TiN)、チタンシリサイド(TiSi)、コバルトシリサイド(CoSi)、ニッケルシリサイド(NiSi)、銅(Cu)、窒化タンタル(TaN)、ニッケル(Ni)、窒化チタンシリコン(TiSiN)、それらの組み合わせ等である。充填材142の厚さは、0.5nm-10nm程度とすることができる。充填材142は、例えばCVD、ALD、PVD等を用いて形成することができる。平坦化プロセス(例えば、CMP、研磨、エッチバックなど)を実行して導電層140及び充填材料142の過剰部分を除去することができ、例えば導電層140及び充填材料142の第3誘電体層132の上方部分に形成される。このように、導電層140及び充填材料142の頂面は第3誘電体層132の頂面と同一平面にあることができる。
いくつかの実施例において、導電線143は電源レールであり、これらの電源レールはエピソース/ドレイン領域92を基準電圧、電源電圧等に電気的に接続する導電線である。電源レールを半導体ダイの前側ではなく得られた半導体ダイの裏側に配置することにより、利点を実現することができる。例えば、ナノFETのゲート密度及び/又は前側相互接続構造120の相互接続密度を増加させることができる。また、半導体ダイの裏側により広い電源レールを収容することができ、それにより抵抗を低減しかつナノFETへの電力伝送の効率を向上させる。例えば、導電線143の幅は前側相互接続構造120の第1段導電線(例えば、導電部材122)の幅の少なくとも二倍であってもよい。また、以下により詳細に説明するように、空気スペーサは導電線143における隣接する導電線との間に導電線143と同じ層に形成することができる。空気スペーサは導電線143を互いに隔離することができ、それにより結合容量を減少させる。また、改善された分離はより高いデバイス速度を使用することを可能にし、それによりデバイス性能を向上させる。
図30A~図30Cにおいて、第3誘電体層132に対してエッチバックプロセスを実行する。エッチバックプロセスは第3誘電体層132の材料に対して高いエッチング選択性を有することにより、第3誘電体層132をエッチングして導電線143の状況を顕著に除去しない。エッチバック工程は異方性ドライエッチング工程であってもよい。いくつかの実施例において、エッチバックプロセスは例えばCなどのエッチング剤を含むことができ、該エッチング剤は水素(H)、酸素(O)、その組み合わせなどと混合することができる。エッチング液の流量は、5-200sccm程度とすることができる。約1mTorr~約100mTorrの圧力でチャンバ内にエッチバックプロセスを実行することができ、約5秒~約60秒持続し、バイアス電圧が約200V~約1、000Vでありかつプラズマパワーが約50W~約250Wである。いくつかの実施例において、第3誘電体層132の部分はエッチバックプロセスの後に保留することができる。例えば、エッチバックプロセスの後、第3誘電体層132は約0.5nm~約5nmの範囲の厚さTを有することができる。いくつかの実施例において、エッチバックプロセスは第3誘電体層132を完全に除去することができ、かつSTI領域68及び第2誘電体層125の表面を露出させることができる。
図31A~図31Cにおいて、図30A~図30Cの構造の上方に第4誘電体層144を形成する。第4誘電体層144は第3誘電体層132の裏側、導電層140の側壁及び裏側、及び充填材142の裏側に堆積することができる。第4誘電体層144は誘電体材料を含むことができ、例えば炭化ケイ素(SiC)、酸化ランタン(LaO)、酸化アルミニウム(AlO)、酸窒化アルミニウム(AlON)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、窒化ケイ素(SiN)、ケイ素(Si)、酸化亜鉛(ZnO)、窒化ジルコニウム(ZrN)、酸化アルミニウムジルコニウム(ZrAlO)、酸化チタン(TiO)、酸化タンタル(TaO)、酸化イットリウム(YO)、炭窒化タンタル(TaCN)、ケイ化ジルコニウム(ZrSi)、炭酸窒化ケイ素(SiOCN)、炭酸化ケイ素(SiOC)、炭窒化ケイ素(SiCN)、ケイ化ハフニウム(HfSi)、酸化ランタン(LaO)、酸化ケイ素(SiO)、それらの組み合わせ又は多層等である。第4誘電体層144は、CVD、ALD、PVD、PECVD等の適宜のプロセスを用いて成膜することができる。第4誘電体層144の厚さは、0.5nm-6nm程度とすることができる。
図32A~図32Cにおいて、第4誘電体層144をエッチングして第3スペーサ146を形成する。異方性エッチングプロセス(例えば、ドライエッチングプロセス)などの適切なエッチングプロセスを用いて第4誘電体層144をエッチングすることができる。エッチングプロセスは第4誘電体層144の材料に対して高いエッチング選択性を有することにより、第4誘電体層144をエッチングして導電線143の材料を顕著に除去しない場合である。また、エッチングプロセスはタイミングエッチングプロセスであってもよく、かつ第3誘電体層132の材料を露出するまで実行されてもよい。図32B及び図32Cに示すように、第3スペーサ146は導電線143の側壁に配置される。いくつかの実施例において、エッチングプロセスは例えばCなどのエッチング剤を含むことができ、該エッチング剤は水素(H)、酸素(O)、その組み合わせなどと混合することができる。エッチング液の流量は、5-200sccm程度とすることができる。約1mTorr~約100mTorrの圧力でチャンバ内にエッチバックプロセスを実行することができ、約5秒~約60秒持続し、バイアス電圧が約200V~約1、000Vでありかつプラズマパワーが約50W~約250Wである。エッチングプロセスの後、第3スペーサ146は約0.5nm~約6nmの範囲の厚さを有することができる。
図33A~図33Cにおいて、第3誘電体層132を除去して導電線143及び第3スペーサ146に隣接する第7溝148を形成する。第3誘電体層132は、等方性エッチング等の適宜のエッチング処理により除去することができる。エッチングプロセスは第3誘電体層132の材料に対して高いエッチング選択性を有することにより、第3誘電体層132をエッチングして導電線143、第3スペーサ146、STI領域68又は第2誘電体層125の材料を顕著に除去しない。いくつかの実施例において、エッチングプロセスは等方性ドライエッチングプロセスであってもよい。いくつかの実施例において、エッチングプロセスはエッチング剤を含むことができ、例えば三フッ化窒素(NF)であり、該エッチング剤は水素(H)、臭化水素(HBr)、その組み合わせ等と混合することができる。エッチング液の流量は、5-200sccm程度とすることができる。約1mTorr~約100mTorrの圧力でチャンバ内にエッチングプロセスを実行することができ、約5秒~約180秒持続し、プラズマパワーは約50W~約250Wである。
いくつかの実施例によれば、図33Bはゲート電極102の縦方向軸線に平行な断面図で第7溝148の例示的な寸法を示す。エッチングプロセスの後、第7溝148は隣接する導電線143上の第3スペーサ146の間に範囲が約0.5nm~約6nmの幅Wを有することができる。導電線143は第7溝148に隣接する高さHを有することができ、その範囲は約1nm~約20nmにあり、第3スペーサの裏側は導電線143の裏側と同一平面にある。第7溝148のアスペクト比(例えば、高さHと幅Wとの比率)は約1~約3の範囲にあることができる。所定の大きさを有する第7溝148を形成することにより第7溝148を密封して空気スペーサを形成することができる。また、所定の寸法を有する第7溝148を形成することは第7溝148がゲート電極102の縦方向軸線に垂直な断面図(すなわち、図33Cに示す断面において)において導電線143及び第3スペーサ146に隣接する幅Wより大きい長さを有することを可能にすることができ、例えば範囲が約5nm~約15nmの長さである。導電線143を含む層中及び隣接する導電線143の間に空気スペーサを形成して導電線143の分離を改善し、これは結合容量を減少させかつ装置の速度を増加させることを可能にする。
図34A~図34Cにおいて、第5誘電体層154は導電線143及び第3スペーサ146の上方に形成されかつ第7溝148の上部に延在する。第5誘電体層154は第7溝148を密封することができ、それにより第3スペーサ146及び導電線143に隣接して空気スペーサ156(エアギャップとも呼ばれる)を形成する。いくつかの実施例において、第5誘電体層154は封止材料と呼ばれてもよい。第5誘電体層154は誘電体材料を含むことができ、例えば炭化ケイ素(SiC)、酸化ランタン(LaO)、酸化アルミニウム(AlO)、酸窒化アルミニウム(AlON)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、窒化ケイ素(SiN)、ケイ素(Si)、酸化亜鉛(ZnO)、窒化ジルコニウム(ZrN)、酸化アルミニウムジルコニウム(ZrAlO)、酸化チタン(TiO)、酸化タンタル(TaO)、酸化イットリウム(YO)、炭窒化タンタル(TaCN)、ケイ化ジルコニウム(ZrSi)、炭酸窒化ケイ素(SiOCN)、炭酸化ケイ素(SiOC)、炭窒化ケイ素(SiCN)、ケイ化ハフニウム(HfSi)、酸化ランタン(LaO)、酸化ケイ素(SiO)、それらの組み合わせ又は多層等である。第5誘電体層154は、CVD、ALD、PVD、PECVD等の適宜のプロセスを用いて成膜することができる。第5誘電体層154の厚さTは、導電性配線143及び第3スペーサ146上において、5nm-10nm程度とすることができる。第3スペーサ146及び導電性ワイヤ143の上面よりも下側のエアスペーサ156上に延在する第5誘電体層の一部は、厚さTが約0.5nm-約5nmの範囲であってもよい。
図34B及び図34Cに示すように、第5誘電体層154は第7溝148(図33B及び図33Cに示すとおりである)に部分的に延伸して空気スペーサ156を形成することができる。その後に第5誘電体層154を平坦化した(図35A~図35Cを参照する)後に、第7溝148内に部分的に延伸する第5誘電体層154を形成して第5誘電体層154の材料を提供して空気スペーサ156を密封する。第7溝152に上記サイズが形成されることにより第5誘電体層154が第7溝148内に部分的に延伸することを可能にし、第7溝148を充填しない。所定の範囲より低いアスペクト比で第7溝148を形成することは第5誘電体層154の十分な材料が第7溝148内に延伸することを許可しない可能性があり、後続の平坦化プロセスの後に、空気スペーサ156が第5誘電体層154により密封されない。他方では、所定の範囲より大きいアスペクト比を有する第7溝148を形成することは第5誘電体層154の材料が第7溝148を充填して空気スペーサ156を形成しないことを可能にする。いくつかの実施例において、第5誘電体層154のための材料に基づいて第7溝148のアスペクト比を選択することができる。
空気スペーサ156はガスを含むことができ、例えば第5誘電体層154の堆積期間に使用されるガス又は空気スペーサ156内に拡散可能な任意の他のガスである。空気スペーサ156は低誘電率(例えば、k値)を有することができ、例えば1に近い誘電率である。空気スペーサ156は導電線143と同じ層に配置されてもよく、かつ隣接する導電線143の間に配置されてもよい。図34B及び図34Cに示すように、STI領域68及び第2誘電体層125は空気スペーサ156の第1水平境界を限定することができる。第3スペーサ146及び導電線143は空気スペーサ156の側境界を限定することができる。第3スペーサ146は空気スペーサ156の第2水平境界を限定することができる。かつ第5誘電体層154は空気スペーサ156の第3水平境界を限定することができる。図34Bに示すように、基準断面B-B’において導電線143及び第3スペーサ146の二つの側壁に沿って空気スペーサ156を形成することができ、かつ図34Cに示すように、基準断面C-C’において導電線143及び第3スペーサ146の第3側壁に沿って空気スペーサ156を形成することができる。このように、空気スペーサ156は導電線143及び第3スペーサ146の少なくとも三つの側壁に沿って延伸することができる。いくつかの実施例において、空気スペーサ156はさらに第3側壁に対向する断面C-C’において導電線143及び第3スペーサ146の第4側壁に沿って延伸することができる。図34B及び図34Cに示すように、STI領域68及び第2誘電体層125の裏側に平行な方向に、空気スペーサ156は導電線143内の隣接する導電線と導電線143内の隣接する導電線の側壁に形成された第3スペーサ146との間に延在することができる。図34B及び図34Cは開放側を有するいくつかの空気スペーサ156を示す。しかしながら、空気スペーサは付加的な導電線143及び付加的な第3スペーサ146(単独に図示せず)まで延伸することができ、それにより各空気スペーサ156の側境界は導電線143及び第3スペーサ146の側壁により限定される。
いくつかの実施例によれば、図34Bはゲート電極102の縦方向軸線に平行な断面図で空気スペーサ156の例示的な寸法を示す。エアスペーサ156は、隣り合う導電線143上の第3スペーサ146の間の幅Wが0.5nm-6nm程度であってもよい。エアスペーサ156の高さHは、6nm-15nm程度とすることができる。エアスペーサ156のアスペクト比(例えば、高さHと幅Wとの比率)は約1~約2の範囲にあることができる。空気スペーサ156のサイズは第7溝148のサイズに依存することができ、かつ空気スペーサ156が第5誘電体層154により密封され、空気スペーサ156を充填しないように選択することができる。また、第5誘電体層154は第7溝152内の十分な距離まで延伸することができ、それにより空気スペーサ156は後続の処理の後に密封を保持する。所定の寸法を有する空気スペーサ156を形成することは空気スペーサ156がゲート電極102の縦方向軸線に垂直な断面図(すなわち、図34Cに示す断面において)において導電線143及び第3スペーサ146に隣接する幅Wより大きい長さを有することを可能にすることができ、例えば範囲が約5nm~約15nmの長さである。空気スペーサ156は低誘電率を有するため、空気スペーサ156は導電線143の分離を改善し、それにより結合容量を減少させる。また、改善された分離はより高いデバイス速度を使用することを可能にし、それによりデバイス性能を向上させる。
図35A~図35Cにおいて、第5誘電体層154に対して平坦化プロセスを実行する。平坦化処理は、CMP、研磨、エッチバックなどの処理であってもよい。いくつかの実施例において、平坦化プロセスは第5誘電体層154の部分を除去することにより、第5誘電体層154の頂面が第3スペーサ146及び導電線143の頂面と同一平面にある。平坦化プロセスの後、第5誘電体層154は空気スペーサ156の上方に範囲が約0.5nm~約5nmの高さHを有することができる。
図36A~図36Cにおいて、裏側相互接続構造164の残りの部分は第5誘電体層154、第3スペーサ146及び導電線143の上方に形成される。裏側相互接続構造164は裏側相互接続構造と呼ばれてもよく、それはトランジスタ構造109の裏側(例えば、トランジスタ構造109のトランジスタ構造109の前側と対向する一側)に形成されるためである。裏側相互接続構造164は、導電線143と、エアスペーサ156と、第3スペーサ146とを含みうる。
裏側相互接続構造164の残りの部分は材料を含むことができ、かつ図21A~図21Cに関して上述した前側相互接続構造120に使用されるプロセスと同じ又は類似するプロセスを使用して形成することができる。特に、裏側相互接続構造164は第九誘電体層160内に形成された第2導電部材162の積層を含むことができる。第2導電部材162は配線(例えば、後続に形成された接触パッドと外部接続部材との間に用いられる配線)を含むことができる。第2導電部材162をさらにパターン化して一つ又は複数の埋め込み型受動部品を含むことができ、例えば抵抗器、コンデンサ、インダクタなどである。埋め込み型受動部品は導電線143(例えば、電源レール)と一体に集積することができ、それによりナノFETの裏側に回路(例えば、電源回路)を提供する。
図37A~図37Cにおいて、不動態化層166、UBM168及び外部接続部品170は裏側相互接続構造164の上方に形成される。パッシベーション層166は、PBO、ポリイミド、BCBなどのポリマーを含んでもよい。好ましくは、不動態化層166は非有機誘電体材料を含むことができ、例えば酸化ケイ素、窒化ケイ素、炭化ケイ素、酸窒化ケイ素などである。パッシベーション層166は、例えばCVD、PVD、ALD等により成膜することができる。
パッシベーション層166を貫通して裏側相互接続構造164における第2導電部材162までUBM168を形成し、かつUBM168に外部接続部材170を形成する。UBM168は、めっき法等により形成された銅、ニッケル、金等の層を含んでいてもよい。外部接続部材170(例えば、半田ボール)はUBM168に形成される。外部接続部170を形成する工程は、UBM168の露出部分に半田ボールを配置し、半田ボールをリフローさせる工程を含むことができる。いくつかの実施例において、外部接続部材170を形成することは電気めっきステップを実行して最上部の第2導電性部材162の上方に半田領域を形成し、次に半田領域を還流させることを含む。UBM168及び外部接続部品170は他の電気部品への入力/出力接続を提供するために用いられ、前記他の電気部品は例えば他の部品ダイ、再配線構造、プリント回路基板(PCB)、マザーボード等である。UBM168及び外部接続部品170は裏側入力/出力パッドと呼ばれてもよく、それは上記ナノFETに信号、電源電圧及び/又は接地接続を提供することができる。
実施例は利点を実現することができる。例えば、裏側相互接続構造164に空気スペーサ156を含むことにより導電線143を隔離し、結合容量を減少させかつより高い装置速度を使用することを可能にし、該空気スペーサ156は導電線143及び第3スペーサ146の側壁から隣接する導電線143及び第3スペーサ146の側壁まで延びる。これにより、デバイス性能が向上する。
実施例によれば、デバイスは、第1トランジスタ構造と、前記第1トランジスタ構造の前側にある前側相互接続構造と、前記第1トランジスタ構造の裏側にある裏側相互接続構造と、を含み、前記裏側相互接続構造は、前記第1トランジスタ構造の前記裏側にある第1誘電体層と、前記第1誘電体層を延伸して貫通し、前記第1トランジスタ構造の第1ソース/ドレイン領域に電気的に結合される第1貫通孔と、前記第1貫通孔に電気的に結合される第1導電線と、前記第1導電線に隣接する空気スペーサと、を含み、前記第1導電線は、前記空気スペーサの第1側境界を画定する。実施例において、前記第1導電線は電源線又は電気接地線である。実施例において、前記デバイスは、さらに、前記第1誘電体層を延伸して貫通し、前記第1トランジスタ構造の第2ソース/ドレイン領域に電気的に結合される第2貫通孔と、前記第2貫通孔に電気的に結合され、前記空気スペーサの前記空気スペーサの前記第1側境界と対向する第2側境界を画定する第2導電線と、含む。実施例において、前記デバイスは、さらに、前記第1導電線に接触する第1スペーサと、前記第2導電線に接触する第2スペーサと、を含み、ここで前記第1スペーサは前記空気スペーサの第3側境界を画定し、かつ前記第2スペーサは前記空気スペーサの前記第3側境界に対向する第4側境界を画定する。実施例において、前記空気スペーサの高さと前記空気スペーサの前記第1スペーサと前記第2スペーサとの間における幅とのアスペクト比は1~2である。実施例において、前記第1誘電体層は前記空気スペーサの第1水平境界を画定する。実施例において、前記デバイスはさらに、前記第1導電線に隣接する第2誘電体層を含み、前記第2誘電体層は前記空気スペーサの第2水平境界を画定し、ここで前記第2誘電体層の水平面は前記第1導電線の水平面と同一平面にある。
別の実施例によれば、デバイスは、トランジスタ構造と、前記トランジスタ構造の前側にある前側相互接続構造と、前記トランジスタ構造の裏側にある裏側相互接続構造と、を含み、前記裏側相互接続構造は、第1裏側貫通孔を介して前記トランジスタ構造の第1ソース/ドレイン領域に電気的に結合される第1導電線と、前記第1導電線の側面に接触する第1誘電体スペーサと、前記第1誘電体スペーサに隣接するエアギャップと、含み、ここで前記第1誘電体スペーサの側面は、前記エアギャップの第1境界を画定し、かつ前記第1導電線の側面は、前記エアギャップの第2境界を画定する。実施例において、前記第1誘電体スペーサの水平面は前記エアギャップの前記第1境界及び前記第2境界に垂直な第3境界を画定する。実施例において、前記デバイスはさらに、前記第1誘電体スペーサの側面に接触する第1誘電体層を含み、ここで前記第1誘電体層の水平面は前記エアギャップの前記第1境界及び前記第2境界に垂直な第4境界を画定する。実施例において、前記第1導電線の水平面、前記第1誘電体スペーサの水平面及び前記第1誘電体層の水平面は互いに面一である。実施例において、前記第1境界及び前記第2境界の組み合わせ高さと前記第4境界の幅とのアスペクト比は1~2である。実施例において、前記第1導電線は電源線又は電気接地線である。実施例において、断面図において、前記エアギャップは前記第1誘電体スペーサの三つ以上の側面及び前記第1導電線の三つ以上の側面に沿って延在する。
別の実施例によれば、方法は、第1基板に第1トランジスタを形成することと、第1エピタキシャル材料を露出させ、ここで前記第1エピタキシャル材料を露出させることは前記第1基板の裏側を薄くすることを含むことと、前記第1エピタキシャル材料を第1裏側貫通孔に置き換え、前記第1裏側貫通孔は前記第1トランジスタの第1ソース/ドレイン領域に電気的に結合されることと、前記第1裏側貫通孔の上方に第1誘電体層を形成することと、前記第1裏側貫通孔の上方の前記第1誘電体層に第1導電線を形成し、前記第1導電線は前記第1裏側貫通孔に電気的に結合されることと、前記第1誘電体層の上方に前記第1導電線に隣接する第1スペーサを形成することと、前記第1誘電体層を除去して前記第1導電線の側壁を露出させる第1溝を形成することと、前記第1溝を密封して空気スペーサを形成することと、を含む。実施例において、前記第1スペーサを形成することは、前記第1誘電体層及び前記第1導電線の上方に第2誘電体層を堆積することと、前記第2誘電体層をエッチングして前記第1スペーサを形成することと、を含む。実施例において、前記方法はさらに、前記第1導電線を形成した後及び前記第1スペーサを形成する前に前記第1誘電体層をエッチバックすることを含む。実施例において、前記第1導電線の高さと前記第1スペーサに隣接する前記空気スペーサの幅との比率は1~3である。実施例において、前記第1溝を封止することは、前記第1スペーサ、前記第1導電線及び前記第1溝の上方に封止材料を堆積することと、前記封止材料、前記第1スペーサ及び前記第1導電線を平坦化することと、を含む。実施例において、前記第1エピタキシャル材料を露出させることは、さらに第2エピタキシャル材料を露出させることを含み、ここで前記方法はさらに、前記第2エピタキシャル材料を第2裏側貫通孔に置き換え、前記第2裏側貫通孔は前記第1トランジスタの第2ソース/ドレイン領域に電気的に結合されることと、前記第2裏側貫通孔の上方に第2導電線を形成し、前記第2導電線は前記第2裏側貫通孔に電気的に結合され、ここで前記第1誘電体層を除去して前記第1溝を形成して前記第2導電線の側壁を露出させることと、含む。
前述の内容はいくつかの実施例の特徴を概説することにより、当業者は本発明の各態様をよりよく理解することができる。当業者であれば理解されるように、それらは本発明を他のプロセス及び構造を設計するか又は修正する基礎として用いることにより、本明細書に紹介された実施例と同じ目的及び/又は同じ利点を実現することができる。当業者であれば、このような同等構造は本発明の精神及び範囲から逸脱せず、かつ本発明の精神及び範囲から逸脱しない場合に、それらはここで様々な変更、置換及び変更を行うことができると認識すべきである。

Claims (10)

  1. 第1トランジスタ構造と、
    前記第1トランジスタ構造の前側にある前側相互接続構造と、
    前記第1トランジスタ構造の裏側にある裏側相互接続構造と、を含み、
    前記裏側相互接続構造は、
    前記第1トランジスタ構造の前記裏側にある第1誘電体層と、
    前記第1誘電体層を延伸して貫通し、前記第1トランジスタ構造の第1ソース/ドレイン領域に電気的に結合される第1貫通孔と、
    前記第1貫通孔に電気的に結合される第1導電線と、
    前記第1導電線に隣接する空気スペーサと、を含み、
    前記第1導電線は、前記空気スペーサの第1側境界を画定する半導体デバイス。
  2. 前記第1導電線は電源線又は電気接地線である請求項1に記載の半導体デバイス。
  3. 前記第1誘電体層を延伸して貫通し、前記第1トランジスタ構造の第2ソース/ドレイン領域に電気的に結合される第2貫通孔と、
    前記第2貫通孔に電気的に結合され、前記空気スペーサの前記空気スペーサの前記第1側境界と対向する第2側境界を画定する第2導電線と、さらに含む請求項1に記載の半導体デバイス。
  4. 前記第1導電線に接触する第1スペーサと、
    前記第2導電線に接触する第2スペーサと、をさらに含み、ここで前記第1スペーサは前記空気スペーサの第3側境界を画定し、かつ前記第2スペーサは前記空気スペーサの前記第3側境界に対向する第4側境界を画定する請求項3に記載の半導体デバイス。
  5. 前記空気スペーサの高さと前記空気スペーサの前記第1スペーサと前記第2スペーサとの間における幅とのアスペクト比は1~2である請求項4に記載の半導体デバイス。
  6. 前記第1誘電体層は前記空気スペーサの第1水平境界を画定する請求項1に記載の半導体デバイス。
  7. 前記第1導電線に隣接する第2誘電体層をさらに含み、前記第2誘電体層は前記空気スペーサの第2水平境界を画定し、ここで前記第2誘電体層の水平面は前記第1導電線の水平面と同一平面にある請求項6に記載の半導体デバイス。
  8. トランジスタ構造と、
    前記トランジスタ構造の前側にある前側相互接続構造と、
    前記トランジスタ構造の裏側にある裏側相互接続構造と、を含み、
    前記裏側相互接続構造は、
    第1裏側貫通孔を介して前記トランジスタ構造の第1ソース/ドレイン領域に電気的に結合される第1導電線と、
    前記第1導電線の側面に接触する第1誘電体スペーサと、
    前記第1誘電体スペーサに隣接するエアギャップと、含み、
    ここで前記第1誘電体スペーサの側面は、前記エアギャップの第1境界を画定し、かつ前記第1導電線の側面は、前記エアギャップの第2境界を画定する半導体デバイス。
  9. 前記第1誘電体スペーサの水平面は前記エアギャップの前記第1境界及び前記第2境界に垂直な第3境界を画定する請求項8に記載の半導体デバイス。
  10. 第1基板に第1トランジスタを形成することと、
    第1エピタキシャル材料を露出させ、ここで前記第1エピタキシャル材料を露出させることは前記第1基板の裏側を薄くすることを含むことと、
    前記第1エピタキシャル材料を第1裏側貫通孔に置き換え、前記第1裏側貫通孔は前記第1トランジスタの第1ソース/ドレイン領域に電気的に結合されることと、
    前記第1裏側貫通孔の上方に第1誘電体層を形成することと、
    前記第1裏側貫通孔の上方の前記第1誘電体層に第1導電線を形成し、前記第1導電線は前記第1裏側貫通孔に電気的に結合されることと、
    前記第1誘電体層の上方に前記第1導電線に隣接する第1スペーサを形成することと、
    前記第1誘電体層を除去して前記第1導電線の側壁を露出させる第1溝を形成することと、
    前記第1溝を密封して空気スペーサを形成することと、を含む半導体デバイスを形成する方法。
JP2021125629A 2020-07-31 2021-07-30 半導体デバイス及びその形成方法 Pending JP2022027719A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063059222P 2020-07-31 2020-07-31
US63/059,222 2020-07-31
US17/092,773 US11456209B2 (en) 2020-07-31 2020-11-09 Spacers for semiconductor devices including a backside power rails
US17/092,773 2020-11-09

Publications (1)

Publication Number Publication Date
JP2022027719A true JP2022027719A (ja) 2022-02-14

Family

ID=77431106

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021125629A Pending JP2022027719A (ja) 2020-07-31 2021-07-30 半導体デバイス及びその形成方法

Country Status (7)

Country Link
US (3) US11456209B2 (ja)
EP (1) EP3945552A1 (ja)
JP (1) JP2022027719A (ja)
KR (1) KR102456276B1 (ja)
CN (1) CN113675196B (ja)
DE (1) DE102020130171B4 (ja)
TW (1) TWI789732B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11652043B2 (en) * 2020-04-29 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside via
US11456209B2 (en) * 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7816231B2 (en) * 2006-08-29 2010-10-19 International Business Machines Corporation Device structures including backside contacts, and methods for forming same
US8492811B2 (en) * 2010-09-20 2013-07-23 International Business Machines Corporation Self-aligned strap for embedded capacitor and replacement gate devices
KR101959284B1 (ko) 2011-11-18 2019-03-19 삼성전자주식회사 반도체 장치 및 그 형성방법
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
KR101898876B1 (ko) 2012-03-02 2018-09-17 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US8796085B2 (en) * 2012-10-12 2014-08-05 Viktor Koldiaev Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US9312222B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning approach for improved via landing profile
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
GB2518136B (en) 2013-07-22 2016-09-14 Echovista Gmbh Ultrasonically clearing precipitation
KR102111474B1 (ko) 2013-11-20 2020-06-08 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
CN108292626B (zh) * 2015-12-23 2024-03-08 英特尔公司 在双侧互连器件上制作和使用穿硅过孔
US9799655B1 (en) 2016-04-25 2017-10-24 International Business Machines Corporation Flipped vertical field-effect-transistor
US9780210B1 (en) * 2016-08-11 2017-10-03 Qualcomm Incorporated Backside semiconductor growth
CN109643742A (zh) * 2016-08-26 2019-04-16 英特尔公司 集成电路器件结构和双侧制造技术
US10892337B2 (en) 2016-09-30 2021-01-12 Intel Corporation Backside source/drain replacement for semiconductor devices with metallization on both sides
CN108987362B (zh) * 2017-05-31 2020-10-16 华邦电子股份有限公司 内连线结构、其制造方法与半导体结构
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
US10290648B1 (en) * 2017-12-07 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing air gap rails and method of making thereof
US11195796B2 (en) * 2018-05-08 2021-12-07 Mediatek Inc. Semiconductor device structure and method for forming the same
US11244898B2 (en) 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US10923565B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
US11688780B2 (en) * 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization
US11456209B2 (en) * 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails

Also Published As

Publication number Publication date
US20220037193A1 (en) 2022-02-03
CN113675196B (zh) 2024-02-27
CN113675196A (zh) 2021-11-19
KR102456276B1 (ko) 2022-10-18
TW202221762A (zh) 2022-06-01
US20220359264A1 (en) 2022-11-10
DE102020130171B4 (de) 2022-08-11
KR20220015904A (ko) 2022-02-08
EP3945552A1 (en) 2022-02-02
TWI789732B (zh) 2023-01-11
US11456209B2 (en) 2022-09-27
DE102020130171A1 (de) 2022-02-03
US11915972B2 (en) 2024-02-27
US20240186179A1 (en) 2024-06-06

Similar Documents

Publication Publication Date Title
TWI750020B (zh) 半導體裝置及形成半導體裝置的方法
TWI801824B (zh) 半導體元件及其形成方法
US11810917B2 (en) Self-aligned etch in semiconductor devices
US11450600B2 (en) Semiconductor devices including decoupling capacitors
CN113517280B (zh) 半导体器件及其形成方法
TWI757063B (zh) 半導體裝置與其製造方法
TWI777359B (zh) 半導體元件與其製造方法
US20240186179A1 (en) Methods of Forming Spacers for Semiconductor Devices Including Backside Power Rails
US20220359375A1 (en) Semiconductor Devices Including Decoupling Capacitors
US20230013764A1 (en) Semiconductor Devices Including Backside Capacitors and Methods of Manufacture
CN117393503A (zh) 半导体器件及其形成方法