JP2022025049A - 集積回路デバイス、方法及びシステム - Google Patents

集積回路デバイス、方法及びシステム Download PDF

Info

Publication number
JP2022025049A
JP2022025049A JP2021122520A JP2021122520A JP2022025049A JP 2022025049 A JP2022025049 A JP 2022025049A JP 2021122520 A JP2021122520 A JP 2021122520A JP 2021122520 A JP2021122520 A JP 2021122520A JP 2022025049 A JP2022025049 A JP 2022025049A
Authority
JP
Japan
Prior art keywords
tap
cell
well
cells
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021122520A
Other languages
English (en)
Inventor
乾燿 黄
Chien Yao Huang
文傑 林
Wun-Jie Lin
國基 陳
Kuo-Ji Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022025049A publication Critical patent/JP2022025049A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/02Fault tolerance, e.g. for transient fault suppression
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/1189Latch-up prevention
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Figure 2022025049000001
【課題】集積回路デバイス及びシステムにおいてTAPセルの配置方法を提供する。
【解決手段】集積回路(IC)デバイスは、第1の方向及び第1の方向と直交する第2の方向に間隔をおいて配置された複数のTAPセル111~115、121~126を含む。複数のTAPセルは、少なくとも1つの第1のTAP111~114セルを含む。第1のTAPセルは、Y’-Y方向に連続して配置された2つの第1の端部エリア161、162と、第1の中間エリア163と、を含む。第1の中間エリアは、第1のタイプの第1のウェル領域131’に注入された第1のタイプの第1のドーパントを含む。第1の端部エリアは、第1の中間エリアの第2の方向の反対側に配置される。第1の端部エリアの夫々は、第1のウェル領域に注入された、第1のタイプと異なる第2のタイプの第2のドーパントを含む。
【選択図】図1A

Description

本発明は、集積回路デバイス、方法及びシステムに関する。
集積回路(IC)は、通常、ICレイアウト図に表される複数の半導体デバイスを含む。ICレイアウト図は階層化されており、半導体デバイスの設計仕様に基づいて上位の機能を実行するモジュールが含まれている。モジュールは多くの場合、特定の機能を実行するように構成された1つ以上の半導体構造を表すセルの組み合わせにより構築される。スタンダードセルと呼ばれることもある、予め設計されたレイアウト図を有するセルは、スタンダードセルライブラリ(以下、簡略化のため、「ライブラリ」又は「セルライブラリ」という)に格納され、EDA(Electronic Design Automation)ツールなどの様々なツールによってアクセスでき、ICの設計を生成、最適化、検証することができる。
本発明の態様は、添付図面を参照しながら、以下の詳細な説明から最もよく理解される。業界の標準的技法に従って、様々なフィーチャが一定のスケールで描かれていないことに注意すべきである。実際、様々なフィーチャの寸法は、説明を明確にするために任意に増減できる。
いくつかの実施形態に係る、ICレイアウト図の模式図である。 いくつかの実施形態に係る、ICレイアウト図におけるウェル領域の模式図である。 図1Aと同様の模式図であり、いくつかの実施形態に係る、ICレイアウト図のさらなるフィーチャを示す。 いくつかの実施形態に係る、異なるタイプのTAPセルの模式図である。 いくつかの実施形態に係る、異なるタイプのTAPセルの模式図である。 いくつかの実施形態に係る、ICレイアウト図の一部の模式的な拡大図である。 いくつかの実施形態に係る、ICレイアウト図の模式図である。 いくつかの実施形態に係る、ICデバイスの模式的な電気回路図と組み合わせた模式的な断面図である。 いくつかの実施形態に係る、ICレイアウト図を生成する方法のフローチャートである。 いくつかの実施形態に係る、ICデバイスを製造する方法のフローチャートである。 いくつかの実施形態に係る、フィンフィーチャを有するトランジスタの一例の斜視図である。 いくつかの実施形態に係る、EDAシステムのブロック図である。 いくつかの実施形態に係る、IC製造システムとそれに関連するIC製造フローのブロック図である。
以下の開示は、提供された主題の異なる特徴を実施するための多くの異なる実施形態又は例を提供する。本開示を分かりやすく説明するために、構成要素、材料、値、ステップ、動作、材料、配置などの具体的な例を以下に説明する。もちろん、これらは、一例に過ぎず、これらに限定するものではない。他の構成要素、値、操作、材料、配置などが企図される。例えば、以下の説明における第2のフィーチャの上又は第2のフィーチャ上に第1のフィーチャを形成することは、第1及び第2のフィーチャが直接接触して形成される実施形態を含んでもよく、また、第1及び第2のフィーチャが直接接触していなくてもよいように、第1及び第2のフィーチャの間に追加のフィーチャが形成されてもよい実施形態を含んでもよい。また、本開示は、様々な例において符号及び/又は文字を繰り返してもよい。この繰り返しは、単純さと明快さを目的としており、それ自体では、説明した様々な実施形態及び/又は構成の間の関係を示すものではない。
さらに、図示されているように、ここで、ある要素又は構造と別の要素又は構造との関係を説明しやすくするために、「下方」、「下」、「下部」、「上方」、「上部」などのような空間的に相対的な用語を使用することができる。空間的に相対的な用語は、図に示されている方向に加えて、使用中又は動作中の装置の異なる方向を包含することを意図している。装置は、他の方向に配向してもよく(90度又は他の配向に回転されてもよい)、本明細書で使用される空間的に相対的な記述子は、同様にそれに応じて解釈され得る。
ウェルタップセル(以下、「TAPセル」という)は、ドーピングされたウェルが電源電圧などのバイアス電圧に結合されるドーピングされたウェル内の領域を規定するスタンダードセルである。TAPセルは、ICレイアウト図に含まれて、レイアウト図に従って製造されたICのラッチアップ耐性を向上させる。
半導体デバイスの微細化が進む中、ICを製造するためのレイアウト図にTAPセルを配置することは、リソグラフィーの臨界寸法(CD)の減少によるプロセスのボトルネックや、混成チャネル効果など、様々な検討事項を引き起こす可能性がある。このような検討事項を解決するために、いくつかの実施形態に係るICレイアウト図では、異なるタイプのTAPセルが2つの横方向にインターリーブ方式で配置され、及び/又は、各TAPセルが二重セルの高さを有するように構成される。その結果、少なくとも1つの実施形態では、プロセス制約の緩和、ウェルタップエリアの縮小によるラッチアップ耐性の向上、ウェルタップ抵抗の低減、タップ集電効率の向上を含むが、これらに限定されない1つ以上の効果を実現することが可能となる。
図1Aは、いくつかの実施形態に係る、ICデバイスのICレイアウト図100の模式図である。
ICレイアウト図100は、第1の方向、例えば、X’-X方向と、X’-X方向と直交する第2の方向、例えば、Y’-Y方向とに間隔をおいて配置された複数のTAPセルを含む。複数のTAPセルは、少なくとも1つの第1のTAPセルを含む。例えば、複数のTAPセルは、第1のタイプの複数の第1のTAPセル111~114を含む。複数のTAPセルは、少なくとも1つの第2のTAPセルをさらに含む。例えば、複数のTAPセルは、第1のタイプと異なる第2のタイプの複数の第2のTAPセル121~126を含む。第1のTAPセル111~114は、X’-X方向に延びている複数の第1の行と、Y’-Y方向に延びている複数の第1の列とに配置される。同様に、第2のTAPセル121~126は、X’-X方向に延びている複数の第2の行と、Y’-Y方向に延びている複数の第2の列に配置される。図1Aの構成例では、第1のTAPセル111~114の2つの第1の行と2つの第1の列があり、第2のTAPセル121~126の2つの第2の行と3つの第2の列がある。第1のTAPセル111~114の第1の列と、第2のTAPセル121~126の第2の列は、X’-X方向に交互に配置される。例えば、第1のTAPセル111、113を含む第1の列は、X’-X方向において、2つの第2のTAPセルの第2の列、すなわち、第2のTAPセル121、124を含む第2の列と、第2のTAPセル122、125を含む別の第2の列との間に配置される。同様に、第2のTAPセル122、125を含む第2の列は、X’-X方向において、第1のTAPセルの2つの第1の列、すなわち、第1のTAPセル111、113を含む第1の列と、第1のTAPセル112、114を含む別の第1の列との間に配置される。第1の行の第1のTAPセルは、X’-X方向において、対応する第2の行の第2のTAPセルと部分的に重なっている。例えば、第1の行の第1のTAPセル111、112は、X’-X方向において、対応する第2の行の第2のTAPセル121、122、123と部分的に重なっている。なお、図1Aに関して上述した構成は一例であり、他の構成は様々な実施形態の範囲内にある。例えば、いくつかの実施形態では、第1の行又は第2の行のそれぞれに、又は第1の列又は第2の列のそれぞれに、異なる数の第1又は第2のTAPセルが含まれる。
ICレイアウト図100は、複数のウェル領域をさらに含む。図1Bは、いくつかの実施形態に係る、ICレイアウト図100におけるウェル領域の模式図である。
ICレイアウト図100のウェル領域は、第1のタイプの第1のウェル領域131~134と、第2のタイプの第2のウェル領域141~145とを含む。第1のウェル領域131~134及び第2のウェル領域141~145は、X’-X方向に延びており、Y’-Y方向に交互に配置される。図1Bのウェル領域は、対応するドーパントでドーピングされ、図1Aでは同じ参照数字であるがプライム記号で示されている対応するドーピングされたウェル領域となる。例えば、図1Bのウェル領域131は、図1Aのドーピングされたウェル領域131’に対応する。以下、説明を簡単にするために、ドーピングされたウェル領域131’~134’及び141’~145’を、それぞれウェル領域131~134及び141~145という。
ICレイアウト図100は、第1のタイプの複数の第1の接続ウェル領域と、第2のタイプの複数の第2の接続ウェル領域とをさらに含む。図1Bの構成例では、第1の接続ウェル領域の例は136~139として示めされ、第2の接続ウェル領域の例は146~151として示される。各第1の接続ウェル領域は、Y’-Y方向において、一対の隣接する第1のウェル領域の間で、第2のウェル領域を横切って延びている。例えば、第1の接続ウェル領域136又は138は、Y’-Y方向において、隣接する第1のウェル領域131、132の間で、第2のウェル領域142を横切って延びている。少なくとも1つの実施形態では、各第1の接続ウェル領域、例えば、136又は138は、対応する隣接する第1のウェル領域、例えば、131、132に連続している。各第2の接続ウェル領域は、Y’-Y方向において、一対の隣接する第2のウェル領域の間で、第1のウェル領域を横切って延びている。例えば、第2の接続ウェル領域146、148又は150は、Y’-Y方向において、隣接する第2のウェル領域141、142の間で、第1のウェル領域131を横切って延びている。少なくとも1つの実施形態では、各第2の接続ウェル領域、例えば、146、148又は150は、対応する隣接する第2のウェル領域、例えば、141、142に連続している。
図1A及び図1Bの両方を参照すると、ICレイアウト図100の各TAPセルは、第1の接続ウェル領域又は第2の接続ウェル領域に対応して配置される。例えば、図1Bは、第1の接続ウェル領域136及び第2の接続ウェル領域146にそれぞれ対応して配置された第1のTAPセル112及び第2のTAPセル122の境界を示す。図1Aに示すように、第1のTAPセル112は、Y’-Y方向に連続して配置された2つの第1の端部エリア161、162と第1の中間エリア163のすべてを含む。第1の中間エリア163は、第1のTAPセル112に対応する第1の接続ウェル領域136(図1B)に配置される。第1の端部エリア161、162は、第1の中間エリア163のY’-Y方向の反対側に配置され、第1の接続ウェル領域136によって接続された第1のウェル領域131、132のエリア164、165(図1B)にそれぞれ配置される。その結果、図1Aに示すように、第1のTAPセル112は、Y’-Y方向において、1つの第1のウェル領域131から、第2のウェル領域142を横切って隣接する第1のウェル領域132まで延びている。他の第1のTAPセルも同様に配置及び/又は構成される。図1Aに示すように、第2のTAPセル122は、Y’-Y方向に連続して配置された2つの第2の端部エリア171、172と第2の中間エリア173のすべてを含む。第2の中間エリア173は、第2のTAPセル122に対応する第2の接続ウェル領域146(図1B)に配置される。第2の端部エリア171、172は、第2の中間エリア173のY’-Y方向の反対側に配置され、第2の接続ウェル領域146によって接続された第2のウェル領域141、142のエリア174、175(図1B)にそれぞれ配置される。その結果、図1Aに示すように、第2のTAPセル122は、Y’-Y方向において、1つの第2のウェル領域141から、第1のウェル領域131を横切って隣接する第2のウェル領域142まで延びている。他の第2のTAPセルも同様に配置及び/又は構成される。
本明細書で述べたように、第1の行の第1のTAPセルと、対応する第2の行の第2のTAPセルは重なっている。例えば、図1Aに示すように、第1のTAPセル112の一方の第1の端部エリア、すなわち、第1の端部エリア161は、X’-X方向において、第2のTAPセル122の第2の中間エリア173と重なっている。第1のTAPセル112の他方の第1の端部エリア162は、X’-X方向において、第2のTAPセル122の第2の中間エリア173、又はそれ以外の部分と重なっていない。第2のTAPセル122の一方の第2の端部エリア、すなわち第2の端部エリア172は、X’-X方向において、第1のTAPセル112の第1の中間エリア163と重なっている。第2のTAPセル122の他方の第2の端部エリア171は、X’-X方向において、第1のTAPセル112の第1の中間エリア163、又はそれ以外の部分と重なっていない。
図1A~1Eの構成例では、第1のタイプはN型であり、第2のタイプはP型である。換言すれば、第1のウェル領域131~134及び第1の接続ウェル領域136~139は、N型のウェル領域(以下、「Nウェル」という)であり、第2のウェル領域141~145及び第2の接続ウェル領域146~151は、P型のウェル領域(以下、「Pウェル」という)であり、第1のTAPセル111~114は、N型のTAPセル(以下、「NTAPセル」という)であり、第2のTAPセル121~126は、P型のTAPセル(以下、「PTAPセル」という)である。また、Nウェルとは、N型ドーパントを含む領域であり、Pウェルとは、P型ドーパントを含む領域である。図面では、Nウェルは、「NW」、又は「Nウェル」、又はウェルタイプ「N」で表記され、Pウェルは、「PW」、又は「Pウェル」、又はウェルタイプ「P」で表記し、N型ドーパントは、「NP」で表記され、P型ドーパントは、「PP」で表記される。
NTAPとは、Nウェル内の領域であるが、Nウェル自体よりもN型ドーパントの濃度が高い領域である。例えば、第1のTAPセル112の第1の中間エリア163は、Nウェルであって、NTAP163が形成される第1の接続ウェル領域136よりもN型ドーパントの濃度が高いNTAP(以下、「NTAP163」という)を含む。本明細書で説明するように、第1のTAPセル112は、NTAP163に加えて、第1のウェル領域131、132からそれぞれNTAP163へのリーク電流(又はボディ電流)を集めるように構成された第1の端部エリア161、162をさらに含む。他のNTAPセルも同様に配置及び/又は構成される。PTAPセルは、Pウェル内の領域であるが、Pウェル自体よりもP型ドーパントの濃度が高い領域である。例えば、第2のTAPセル122の第2の中間エリア173は、Pウェルであって、PTAP173が形成される第2の接続ウェル領域146よりもP型ドーパントの濃度が高いPTAP(以下、「PTAP173」という)を含む。本明細書で説明するように、第2のTAPセル122は、PTAP173に加えて、第2のウェル領域141、142からそれぞれボディ電流をPTAP173に集めるように構成された第2の端部エリア171、172をさらに含む。他のPTAPセルも同様に配置及び/又は構成される。
Nウェルでは、P型ドーパントを用いたP型活性領域が配置されて、1つ以上の回路素子を形成する。Pウェルでは、N型ドーパントを用いたN型活性領域が配置されて、1つ以上の回路素子を形成する。回路素子の例としては、トランジスタ及びダイオードが含まれるが、これらに限定されない。トランジスタの例としては、金属酸化膜半導体電界効果トランジスタ(MOSFET)、相補型金属酸化膜半導体(CMOS)トランジスタ、バイポーラ接合トランジスタ(BJT)、高電圧トランジスタ、高周波トランジスタ、Pチャネル及び/又はNチャネル電界効果トランジスタ(PFET/NFET)など、FinFET、隆起ソース/ドレインを有するプレーナMOSトランジスタなどが含まれるが、これらに限定されない。例えば、Nウェル131~134では、P型の活性領域が配置されて、PMOSトランジスタを形成するためのPチャネル金属酸化膜半導体(PMOS)領域を規定する。また、Pウェル141~145では、N型の活性領域が配置されて、NMOSトランジスタを形成するためのNチャネル酸化膜金属半導体(NMOS)領域が形成される。なお、図面では、NMOSトランジスタを形成するためのNMOS領域は、MOSタイプ「N」で示され、PMOSトランジスタを形成するためのPMOS領域は、MOSタイプ「P」で示される。
予め設計されたレイアウト図を有するセルは、セルライブラリから読み取られ、また、セルのNMOSトランジスタ又はデバイスがNMOS領域に配置され、セルのPMOSトランジスタ又はデバイスがPMOS領域に配置されるように、ICレイアウト図100に配置される。NTAP、PTAP、N型活性領域及びP型活性領域は、一般的に、酸化物(OD)領域という場合があり、図2では「OD」というラベルで模式的に示される。
ICレイアウト図100は、ポリシリコンなどの導電性材料を含むゲート領域(図1Aには示されていないが、本明細書では図2に関して説明する)をさらに含み、図2では「ポリ」というラベルで模式的に示される。金属などのゲート領域のための他の導電性材料は、様々な実施形態の範囲内にある。ゲート領域は、OD領域を横切ってY’-Y方向に延びているか、又は細長くなっている。Y’-Y方向は、本明細書ではポリ方向ともいう。いくつかの実施形態では、各OD領域は、その中に配置された1つ以上のフィンフィーチャを有する。そのようなフィンフィーチャは、X’-X方向に延びているか、又は細長くなっており、Y’-Y方向に互いに間隔をおいて配置される。本明細書では、X’-X方向は「フィン方向」ともいう。フィンフィーチャの一例を図6に関して説明する。いくつかの実施形態に係るICレイアウト図100の一部に対応するICデバイスの模式断面図を図4に関して説明する。ICレイアウト図100のこの部分は、図1A及び図1Bにおいて、TAPセル111及び124の中間エリア115及び116の間にそれぞれ延びている矢印Y1→Y2によって示される。
図1Cは、図1Aと同様の模式図であり、いくつかの実施形態に係るICレイアウト図100のさらなるフィーチャを示す図である。少なくとも1つの実施形態において、デバイスセルは、TAPセル以外のセルである。セルのセル高さとは、ポリ方向におけるセルの寸法である。デバイスセルのセル高さは、デバイスセルの高さという。本明細書では、セルライブラリからのデバイスセルは、デバイスセルのNMOSトランジスタ又はデバイスがNMOS領域に配置され、デバイスセルのPMOSトランジスタ又はデバイスがPMOS領域に配置されるように、ICレイアウト図100に配置される。例えば、Y’-Y方向にデバイスセルの高さAを有するデバイスセルは、Pウェル141に配置されたNMOSトランジスタ又はデバイスと、Nウェル131の一方の半分に配置されたPMOSトランジスタ又はデバイスとを含む。Y’-Y方向にデバイスセルの高さBを有するデバイスセルは、Nウェル131の他方の半分に配置されたPMOSトランジスタ又はデバイスと、Pウェル142の一方の半分に配置されたNMOSトランジスタ又はデバイスとを含む。Y’-Y方向にデバイスセルの高さCを有するデバイスセルは、Pウェル142の他方の半分に配置されたNMOSトランジスタ又はデバイスと、Nウェル132の一方の半分に配置されたPMOSトランジスタ又はデバイスとを含む。Y’-Y方向にデバイスセルの高さDを有するデバイスセルは、Nウェル132の他方の半分に配置されたPMOSトランジスタ又はデバイスと、Pウェル143の一方の半分に配置されたNMOSトランジスタ又はデバイスとを含む。少なくとも1つの実施形態では、デバイスセルの高さA~Dのうちの少なくとも1つは、デバイスセルの高さA~Dのうちの少なくとも別の1つとは異なる。少なくとも1つの実施形態では、すべてのデバイスセル高さA~Dが同じである。デバイスセルの高さA~Dは、製造プロセスの制約、回路設計などを含むがこれらに限定されない1つ以上のファクターに依存する。少なくとも1つの実施形態では、デバイスセルの高さA~Dのそれぞれは、いくつかの特定の高度な製造プロセスノードにおいて有利な0.025μm~0.300μmである。
Y’-Y方向において、各TAPセルは、二重セルの高さを有し、すなわち、セルの高さがデバイスセルの高さの2倍である。例えば、NTAPセル112、114は、(B+C)のセル高さを有し、PTAPセル122、125は、(A+B)のセル高さを有する。少なくとも1つの実施形態では、TAPセルのセル高さは、0.05μm~0.600μmである。
各NTAPについて、DXnは、X方向の最大デバイスTAP間距離であり、DXn’は、X’方向の最大デバイスTAP間距離であり、DYnはY方向の最大デバイスTAP間距離であり、そしてDYn’はY’方向の最大デバイスTAP間距離である。例えば、NTAP163について、DXnは、NTAP163の中点又は中心からPTAPセル123内の隣接するPTAPまでのX方向の距離であり、DXn’は、NTAP163の中点又は中心からPTAPセル122内の隣接するPTAPまでのX’方向の距離であり、DYnは、NTAP163から隣接するPウェル141までのY方向の距離であり、そしてDYn’は、NTAP163から隣接するPウェル143までのY’方向の距離である。少なくとも1つの実施形態では、DXnはDXn’と異なり、及び/又はDYnはDYn’と異なる。少なくとも1つの実施形態では、DXnは、DXn’と同じであり、及び/又はDYnはDYn’と同じである。DXnがDXn’と同じであり、及び/又はDYnがDYn’と同じである場合、X’-X方向及び/又はY’-Y方向において、それぞれ均一なラッチアップ耐性が達成可能である。
各PTAPについて、DXpは、X方向の最大デバイスTAP間距離であり、DXp’は、X’方向の最大デバイスTAP間距離であり、DYpは、Y方向の最大デバイスTAP間距離であり、そしてDYp’は、Y’方向の最大デバイスTAP間距離である。例えば、PTAPセル125内のPTAP183について、DXpは、PTAP183の中点又は中心からNTAPセル114内の隣接するNTAPまでのX方向の距離であり、DXp’は、PTAP183の中点又は中心からNTAPセル113内の隣接するNTAPまでのX’方向の距離であり、DYpは、PTAP183から隣接するNウェル132までのY方向の距離であり、そしてDYp’は、PTAP183から隣接するNウェル134までのY’方向の距離である。少なくとも1つの実施形態では、DXpはDXp’と異なり、及び/又はDYpはDYp’と異なる。少なくとも1つの実施形態では、DXpはDXp’と同じであり、及び/又はDYpはDYp’と同じである。DXpがDXp’と同じであり、及び/又はDYpがDYp’と同じである場合、X’-X方向及び/又はY’-Y方向において、それぞれ均一なラッチアップ耐性が達成可能である。
いくつかの実施形態では、DXn、DXn’、DXp、DXp’のうちの少なくとも1つは、1μm~300μmである。DXn、DXn’、DXp、DXp’のうちの1つ以上が1μm未満である状況では、TAPセルが占めるチップエリアが過度に大きくなり、他の機能を有するセルのための残りのチップエリアが著しく減少する。また、DXn、DXn’、DXp、DXp’のうちの1つ以上が300μm以上である状況では、ラッチアップの危険性が高くなる。
いくつかの実施形態では、隣接するPTAP又はNTAPの間のX’-X方向のTAP間距離は、それぞれ(DXn+DXn’)又は(DXp+DXp’)であり、2μm~600μmである。いくつかの実施形態では、DYn=(A+B)/2、DYn’=(C+D)/2、DYp=(A+D)/2、及びDYp’=(B+C)/2である。DYn、DYn’、DYp、DYp’のうちの少なくとも1つは、0.025μm~0.300μmである。DYn、DYn’、DYp、DYp’のうちの1つ以上が0.025μm未満である状況では、TAPセルが占めるチップエリアが過度に大きくなり、他の機能を有するセルのための残りのチップエリアが著しく減少する。また、DYn、DYn’、DYp、DYp’のうちの1つ以上が0.300μm以上である状況では、ラッチアップの危険性が高くなる。
いくつかの実施形態では、各NTAPは、(DXn*DYn+DXn’*DYn+DXn*DYn’+DXn’*DYn’)で規定されるエリアからボディ電流を集めるように構成される。これらのエリアは、図1Cで191、192として示されるPMOS領域であり、4行のボディ電流に対応する。集められたボディ電流は、JNbody*(DXn*DYn+DXn’*DYn+DXn*DYn’+DXn’*DYn’)として規定され、JNbodyは、Pウェルのレイアウトエリア当たりのデバイスボディ電流密度である。いくつかの実施形態では、各PTAPは、(DXp*DYp+DXp’*DYp+DXp*DYp’+DXp’*DYp’)として規定されるエリアからボディ電流を集めるように構成される。これらのエリアは、図1Cで193、194として示されるNMOS領域であり、4行のボディ電流に対応する。集められたボディ電流は、JPbody*(DXp*DYp+DXp’*DYp+DXp*DYp’+DXp’*DYp’)として規定され、JPbodyは、Nウェルのレイアウトエリア当たりのデバイスボディ電流密度である。
すべてのDXn、DXn’、DXp、DXp’がDXに等しく、すべてのDYn、DYn’、DYp、DYp’がDYに等しく、JNbody、JPbodyが両方ともJbodyに等しい簡略化された構成では、ICレイアウト図100に対応するICデバイスのLUP耐性インデックスは、以下の関係で決定される
V=V(DX,DY)+4*Jbody(DX*DY)*R(DH)
式中、
Vは、ICデバイスに流れるボディ電流Jbodyによる電圧降下で表されるLUP耐性インデックスであり、
V(DX,DY)は、TAP、例えば、NTAP163から、X方向、Y方向にそれぞれDX、DYの距離にある点(DX,DY)、例えば、図1Cの点197における電位であり、そして
R(DH)は、二重セルの高さを有するTAPセル、例えば、TAPセル111~114及び121~126のいずれかのTAP抵抗である。
電圧降下Vが低いほど、ICデバイスのLUP耐性が高くなる。
他のアプローチでは、単一のセル高さを有する、すなわち、セル高さがデバイスセルの高さと同じであるTAPセル(例えば、図1CのA、B、C、Dのいずれか1つ)を使用する。そのような他のアプローチでは、単一セルの高さを有するTAPセルのTAP抵抗R(SH)は、いくつかの実施形態によれば、二重セル高さを有するTAPセルのTAP抵抗R(DH)の4倍よりも大きい。換言すれば、R(SH)>4*R(DH)である。少なくとも1つの実施形態では、R(SH)は、R(DH)の少なくとも10倍以上である。いくつかの実施形態に係るTAP抵抗低減の説明を図2に関して提供する。二重セルの高さを有するTAPセルにおけるTAP抵抗の大幅な低減により、いくつかの実施形態に係るICデバイスのLUP耐性インデックスVは、他のアプローチに比べて低減される。換言すれば、いくつかの実施形態に係るICデバイスのLUP耐性が改善される。
さらに、本明細書に説明するように、いくつかの実施形態に係るTAPセルは、図1CのNTAPセル112のPMOS領域191、192によって例示されるように、4行のボディ電流に対応するエリアからボディ電流を集めるように構成される。その結果、少なくとも1つの実施形態では、他のアプローチよりも広いエリアからボディ電流を集めることが可能となり、これにより、意図されたLUP耐性に必要なウェルタップエリアを減少させる一方で、他の機能のための他のセル及び/又はデバイスのためのエリアを増加させることが可能となる。
図1Dは、いくつかの実施形態に係る、NTAPセルである第1のTAPセル112の模式図である。本明細書で説明するように、NTAPセル112は、Y’-Y方向に連続して配置された2つの第1の端部エリア161、162と、第1の中間エリア163とを含む。第1の中間エリア163は、第1のタイプ、例えば、N型のドーパントを含む。第1の端部エリア161、162は、第1の中間エリア163のY’-Y方向の反対側に配置され、第2のタイプ、例えばP型のドーパントを含む。NTAPセル112のエリア161~163のN型及びP型のドーパントはいずれも、第1の接続ウェル領域136と第1のウェル領域131、132のエリア164、165とを含む連続したNウェル内にそれぞれ注入される。第1の中間エリア163は、図3に関して説明したように、第1の接続ウェル領域136を第1の電源電圧、例えばVDDに結合するためのNTAPとして構成される。第1の端部エリア161、162は、第1の接続ウェル領域136に結合された第1のウェル領域131、132のエリア164、165をそれぞれ有し、それぞれ第1のウェル領域131、132から第1の中間エリア163内のNTAPにボディ電流を集めるように構成される。Y’-Y方向において、NTAPセル112の高さは、(B+C)、すなわち、デバイスセルの高さの2倍である。また、NTAPを有する第1の中間エリア163の高さは、NTAPセル112の高さの半分、すなわち(B+C)/2である。
図1Eは、いくつかの実施形態に係る、PTAPセルである第2のTAPセル122の模式図である。本明細書で説明するように、PTAPセル122は、Y’-Y方向に連続して配置された2つの第2の端部エリア171、172と、第2の中間エリア173とを含む。第2の中間エリア173は、第2のタイプ、例えばP型のドーパントを含む。第2の端部エリア171、172は、第2の中間エリア173のY’-Y方向の反対側に配置され、第1のタイプ、例えばN型のドーパントを含む。PTAPセル122のエリア171~173のN型及びP型のドーパントはいずれも、第2の接続ウェル領域146と第2のウェル領域141、142のエリア174、175とを含む連続したPウェル内にそれぞれ注入される。第2の中間エリア173は、図3に関して説明したように、第2の接続ウェル領域146を第2の電源電圧、例えば、VSSに結合するPTAPとして構成される。第2の端部エリア171、172は、第2の接続ウェル領域146に結合された第2のウェル領域141、142のエリア174、175をそれぞれ有し、それぞれ第2のウェル領域141、142から第2の中間エリア173のPTAPにボディ電流を集めるように構成される。Y’-Y方向において、PTAPセル122の高さは、(A+B)、すなわち、デバイスセルの高さの2倍である。PTAPを有する第2の中間エリア173の高さは、PTAPセル122の高さの半分、すなわち(A+B)/2である。
図2は、いくつかの実施形態に係る、ICレイアウト図の一部の模式的な拡大図である。具体的には、図2は、ICレイアウト図100のPTAPセル125の模式的な拡大図である。PTAPセル125は、第2の接続ウェル領域147上に形成された活性領域230とゲート領域240とを含むPTAP183を含む。第2の接続ウェル領域147は、Pウェル143、144に連続して延びているPウェルである。PTAP183は、Nウェル133とX’-X方向に同一の行に形成される。活性領域及びゲート領域は、Nウェル132、133、134及びPウェル143、144の1つ以上にも存在するが、図2では簡略化のために示されていない。
PTAPセル125は、二重セルの高さを有し、したがってPTAP183内の活性領域230も、単一セルの高さを有するTAPセルを有する他のアプローチに比べて、Y’-Y方向に大きな幅又は高さWを有する。より大きな高さWは、図1Cに関して説明したように、ゲート領域240との接触エリアを増加させ、TAP抵抗を減少させ、LUP耐性を向上させる活性領域230のフィンの数を増加させる。少なくとも1つの実施形態では、TAP当たりのフィンの数が増加すると、フィン当たりのTAP抵抗が減少し、電流クラウディング効果なしに改善されたTAPフィン数の直線性が得られる。
また、PTAPセル125の二重セルの高さは、単一セルの高さを有するTAPセルを用いた他のアプローチと比較して、PTAP183のウェルエンクロージャーを拡張する。PTAP183のウェルエンクロージャーは、図2において、活性領域230からY方向に最も近いNウェル132まで(又はY’方向に最も近いNウェル134まで)の距離dとして示され、他のアプローチにおける対応するウェルエンクロージャーよりも大きい。少なくとも1つの実施形態では、拡張されたウェルエンクロージャーは、輪郭ドーパント効果を抑制することにより、TAP抵抗のさらなる低減に寄与する。
図2の構成例では、PTAP183の活性領域230のX’-X方向の長さLが、Y’-Y方向の高さWよりも大きい。このようにPTAP183がX’-X方向に細長い形状をしていることで、Y’-Y方向にPTAP183が集めるボディ電流の量が増加する。ボディ電流の収集が不均一で、主にX’-X方向に発生する他のアプローチと比較して、少なくとも1つの実施形態におけるウェルタップによるボディ電流の集電は、Y方向のボディ電流の集電量を増加させることで、X’-X方向とY’-Y方向の両方で均一になるように構成される。その結果、1つ以上の実施形態では、ボディ電流の集電効率が改善される。
本明細書で説明するように、TAPセルの配置のためのいくつかの他のアプローチは、いくつかの潜在的な問題がある。例えば、第1のアプローチでは、TAPセルは、PウェルとNウェルとの間の境界を越えて、半分のセルの高さで配置される。このような半分のセルの高さの配置は、特に100nm以下のCDでは製造上の問題がある。対照的に、いくつかの実施形態では、TAPセルは、それぞれのウェル領域内に、拡張されたウェルエンクロージャーで囲まれているため、半分のセルの高さの配置に伴う製造上の困難を回避する。別の例として、説明した第1のアプローチと異なる第2のアプローチでは、近接して配置されたNTAPとPTAPとの間の注入の不連続性に起因する混合チャネル効果に関する懸念がある。このような混合チャネル効果の懸念は、図1A~1Cに関して説明したように、隣接するNTAP及びPTAPが互いに間隔をおいて配置される1つ以上の実施形態によって回避される。いくつかの実施形態では、特に高度な製造プロセスノードにおけるプロセス制約の緩和、ラッチアップ耐性の改善、TAPセルによって占められるエリアの減少、及びTAPセル以外のスタンダードセルが配置可能なエリアの増加を含むがこれらに限定されない1つ以上の効果を達成することが可能である。一例では、TAPセルが占めるエリアは、少なくとも1つの実施形態では、他のアプローチで観察されるエリアの約45%に減少し、LUP耐性は約50%改善する。
図3は、いくつかの実施形態に係る、ICレイアウト図300の模式図である。ICレイアウト図300は、X’-X方向及びY’-Y方向に一定の間隔で配置される複数の部分301、301、...30nを含む。TAPセルは同様の方法で部分301、301、...30nのそれぞれに配置される。例えば、少なくとも1つの実施形態では、部分301、301、...30nのそれぞれにおいて、TAPセルは、図1A~1E及び2の1つ以上に関して説明したように配置される。他のTAPセルの配置は、様々な実施形態の範囲内にある。その結果、TAPセルは、一定の間隔で、ICレイアウト図300の上で繰り返しパターンで配置されて、ICレイアウト図300の上で意図されたLUP耐性を確保する。いくつかの実施形態では、図1A~1E及び2の1つ以上に関して説明する1つ以上の利点又は効果は、ICレイアウト図300において達成可能である。
図4は、いくつかの実施形態に係る、ICデバイス400の模式断面図である。また、図4の断面図は、ICデバイス400の模式的な電気回路図と組み合わされる。いくつかの実施形態では、ICデバイス400は、図1A及び図1Bの矢印Y1→Y2で示されるICレイアウト図100の一部に対応する。ICデバイス400は、TAPセル、ウェル領域、活性領域、ゲート領域、フィンフィーチャが形成される基板451を含む。例えば、ICデバイス400は、基板451上に、図1Bに最もよく見られるように、接続ウェル領域138で接続されたウェル領域132を含むNウェルを含む。ICデバイス400は、さらに、基板451上に、図1Bに最もよく見られるように、接続ウェル領域149と接続されたウェル領域143を含むPウェルを含む。P型活性領域452、453は、Nウェルのウェル領域132の上に形成される。ゲート領域454は、P型活性領域452、453の上に形成され、P型活性領域452、453とともに、図1Aのドーピングされたウェル領域132’のトランジスタに対応するPMOSを規定する。Nウェルの接続ウェル領域138の上には、図1AのTAPセル111の中間エリアに対応するNTAP115が形成される。Pウェルのウェル領域143の上には、N型活性領域455、456が形成される。ゲート領域457は、N型活性領域455、456の上に形成され、N型活性領域455、456とともに、図1Aのドーピングされたウェル領域143’のトランジスタに対応するNMOSを規定する。また、Pウェルの接続ウェル領域149の上には、図1AのTAPセル124の中間エリアに対応するPTAP116が形成される。ICデバイス400は、隣接するPウェルとNウェルとの間に、複数の分離領域458をさらに含む。PMOSのP型活性領域452は、第1の電源電圧VDDに結合される。NMOSのN型活性領域456は、少なくとも1つの実施形態では、グランドである第2の電源電圧VSSに結合される。基板451は、P型基板である。少なくとも1つの実施形態では、ICデバイス400は、P型基板451の代わりに、N型基板上に形成される。
図4のICデバイス400の模式的な電気回路図は、寄生トランジスタQ1、Q2を示す。寄生トランジスタQ1は、P型活性領域452、Nウェル領域132、及びP型基板451によって形成されるPNPトランジスタである。寄生トランジスタQ2は、Nウェル領域132、Pウェル領域143、及びN型活性領域456によって形成されるNPNトランジスタである。NTAP115及び/又はPTAP116が存在しない場合、ICデバイス400のP型基板451、Pウェル及びNウェルのうちの1つ以上に流れるボディ電流が、寄生トランジスタQ1及びQ2の両方をオンにし、VDDから、オンになった寄生トランジスタQ1及びQ2を経てVSSに至る電流経路を形成するのに十分であることが懸念される。このようなVDDとVSSの間の電流経路は、ICデバイス400の性能に悪影響を与えるラッチアップ状況となる。
VDDに結合されたNTAP115とVSSに結合されたPTAP116を設けることで、ラッチアップ状況の可能性を低減し、ICデバイス400のLUP耐性を向上させることができる。図4のICデバイス400の模式的な電気回路図において、抵抗器RNWは、NTAP115で表されるICデバイス400のNTAPと寄生トランジスタQ1のベースとの間のTAPセル抵抗を表し、一方、抵抗器RPsubは、PTAP116で表されるICデバイス400のPTAPと寄生トランジスタQ2のベースとの間のTAPセル抵抗を表す。抵抗器RNW及びRPsubの抵抗が低いほど、寄生トランジスタQ1及びQ2がそれぞれオンになる可能性が低くなり、ICデバイス400のLUP耐性が向上する。なお、抵抗器RNWの抵抗は、ICデバイス400のNTAPの構成及び/又は配置に依存する。また、抵抗器RPsubの抵抗は、ICデバイス400のPTAPの構成及び/又は配置に依存する。本明細書に説明するように、NTAP及び/又はPTAPを構成及び/又は配置することにより、少なくとも1つの実施形態では、本明細書に説明する1つ以上の他の効果を伴って、低減されたTAPエリアでICデバイス400のLUP耐性を改善することが可能である。
図5Aは、いくつかの実施形態に係る、ICレイアウト図におけるTAPセル配置のための方法500Aのフローチャートである。少なくとも1つの実施形態では、方法500Aは、本明細書に説明されるプロセッサによって全体又は一部が実行され、ICレイアウト図100に対応するICレイアウト図を生成する。
動作505では、第1のタイプの複数の第1のTAPセルは、ICレイアウト図において、複数の第1の列及び複数の第1の行に配置される。例えば、図1A~1Cに関して説明したように、ICレイアウト図100において、複数の第1のTAPセル111~114は、2つの列及び2つの行に配置される。第1のTAPセル111~114は、第1のタイプ、例えば、N型である。
動作515では、第2のタイプの複数の第2のTAPセルは、ICレイアウト図において、複数の第2の列及び複数の第2の行に配置される。例えば、図1A~1Cに関して説明したように、ICレイアウト図100において、複数の第2のTAPセル121~126は、3つの列及び2つの行に配置される。第2のTAPセル121~126は、第1のタイプと異なる第2のタイプ、例えば、P型である。第1のTAPセル111~114の第1の行と、第2のTAPセル121~126の第2の行とは、第1の方向、例えば、X’-X方向に延びている。第1のTAPセル111~114の第1の列と、第2のTAPセル121~126の第2の列は、X’-X方向に交互に配置され、互いに間隔をおいて配置され、X’-X方向と直交する第2の方向、例えば、Y’-Y方向に延びている。各第1の行の第1のTAPセル、例えば、111、112は、X’-X方向において、対応する第2の行の第2のTAPセル、例えば、121、122、123と部分的に重なっている。
少なくとも1つの実施形態では、動作505及び515は、例えば、IC製造フローの配置及び経路動作では、同時に発生する。1つ以上の実施形態では、第1のTAPセル及び/又は第2のTAPセルは、1つ以上のセルライブラリに記憶され、そこから読み取られたスタンダードセルである。いくつかの実施形態では、動作505及び515は、図3に関して説明したように、TAPセルを一定の間隔で、ICレイアウト図の上に繰り返しパターンで配置するために実行される。
図5Bは、いくつかの実施形態に係る、ICデバイスを製造する方法500Bのフローチャートである。少なくとも1つの実施形態では、方法500Aによって生成されたICレイアウト図100に基づいて、製造方法500Bに従ってICデバイスが製造される。
動作525では、第1のウェル領域、第2のウェル領域、第1の接続ウェル領域、及び第2の接続ウェル領域が、基板の上に形成される。例えば、図1Bに関して説明したように、第1のウェル領域131~134、第2のウェル領域141~145、第1の接続ウェル領域136~139、及び第2の接続ウェル領域146~151は、図4に関して説明した基板451の上に形成される。少なくとも1つの実施形態では、Nウェルである第1のウェル領域131~134及び第1の接続ウェル領域136~139は、同時に形成される。少なくとも1つの実施形態では、Pウェルである第2のウェル領域141~145及び第2の接続ウェル領域146~151が同時に形成される。
いくつかの実施形態では、基板は、半導体材料(例えば、シリコン、ドーピングされたシリコン、GaAs、又は他の半導体材料)である。いくつかの実施形態では、基板は、Pドーピング基板である。いくつかの実施形態では、基板は、Nドーピング基板である。いくつかの実施形態では、基板は、ICが製造される半導体材料(例えば、ダイヤモンド、サファイア、酸化アルミニウム(Al)など)以外の硬質結晶材料である。いくつかの実施形態では、N型ドーパント及びP型ドーパントが基板に添加されて、それぞれNウェル及びPウェルが形成される。いくつかの実施形態では、ドーパントは、例えば、イオン注入ツールによって基板に添加される。いくつかの実施形態では、図4に関して説明した分離領域458などの分離構造は、ドライエッチング又はプラズマエッチングプロセスで基板にトレンチをエッチングし、その後、トレンチに誘電体材料、例えば酸化ケイ素、又はスピンオンガラスを充填することによって、隣接するPウェルとNウェルとの間に形成される。
動作535では、第1のウェル領域、第2のウェル領域、第1の接続ウェル領域、及び第2の接続ウェル領域の上に、第1のTAPセル及び第2のTAPセルが形成される。例えば、図1Aに関して説明したように、NTAPセルである第1のTAPセル111~114は、Nウェルである第1のウェル領域131~134及び第1の接続ウェル領域136~139の上に形成される。PTAPセルである第2のTAPセル121~126は、図1Aに関して説明したように、Pウェルである第2のウェル領域141~145及び第2の接続ウェル領域146~151の上に形成される。その結果、図1Aに関して説明したように、第1のTAPセル111~114と第2のTAPセル121~126は、Y’-Y方向に沿って交互に列をなして配置され、X’-X方向には互いに重なっている。
動作545では、第1のウェル領域と第2のウェル領域の上に活性領域が形成される。少なくとも1つの実施形態では、P型活性領域は、TAPセルによって占められていない部分のNウェルの上に形成される。例えば、P型活性領域452、453は、図4に関して説明したように、NTAP115によって占められていない部分のNウェル132の上に形成される。少なくとも1つの実施形態では、N型活性領域は、TAPセルによって占められていない部分のPウェルの上に形成される。例えば、図4を参照して説明したように、N型活性領域455、456は、PTAP116によって占められていない部分のPウェル143の上に形成される。
いくつかの実施形態では、TAPセルと活性領域は、例えば、イオン注入によってともに形成される。例えば、図1Aに示すように、第1のTAPセル112の中間エリア163は、N型ドーパントを含み、同じくN型ドーパントを含むN型活性領域とともに、同一のイオン注入プロセスで形成される。一方、第1のTAPセル112の端部エリア161、162は、P型ドーパントを含み、同じくP型ドーパントを含むP型活性領域とともに、同一のイオン注入プロセスで形成される。さらに一例を挙げると、第2のTAPセル122の中間エリア173は、P型ドーパントを含み、同じくP型ドーパントを含むP型活性領域とともに形成される。一方、第2のTAPセル122の端部エリア171、172は、N型ドーパントを含み、同じくN型ドーパントを含むN型活性領域とともに形成される。
動作555では、ゲート領域は、活性領域の上に形成される。例えば、図4に関して説明したように、PMOSを規定するために、P型活性領域452、453の上にゲート領域454が形成され、NMOSを規定するために、N型活性領域455、456の上にゲート領域457が形成される。いくつかの実施形態では、活性領域の上にゲート酸化物が堆積され、次いで、ゲート酸化物の上に導電性ゲート電極が堆積されてゲート領域を形成する。ゲート酸化物の例示的な材料は、HfO、ZrOなどを含む。また、ゲート電極の材料としては、ポリシリコン、金属などが挙げられる。
いくつかの実施形態では、方法500Bは、TAPセル、活性領域及びゲート領域へのコンタクトを形成することをさらに含む。例えば、ゲート領域を形成した後に、層間絶縁膜(ILD)層を基板上に堆積させる。その後、ILD層をTAPセル、活性領域、及びゲート領域に対応する部分をエッチングし、エッチングされた部分に金属などの導電性材料を充填して導電性ビアを形成する。さらに、このビアの上に金属層を堆積させ、パターニングして、TAPセル、活性領域、及びゲート領域への配線を形成する。少なくとも1つの実施形態では、説明されたプロセスを複数回繰り返すことで、複数のビア層で接続された様々な金属層を形成し、製造中のIC内の様々な接続及び/又はICデバイスの外部にある他の機器との接続を形成する。
説明された方法には例示の操作が含まれるが、必ずしも示された順序で実行される必要はない。動作は、本開示の実施形態の精神及び範囲に応じて、適宜、追加、置換、順序変更、及び/又は排除することができる。異なるフィーチャ及び/又は異なる実施形態を組み合わせた実施形態は、本開示の範囲内にあり、本開示を検討した後、当業者には明らかになる。
図6は、いくつかの実施形態に係る、フィンフィーチャを有する例示的な回路素子600の斜視図である。図6の構成例では、回路素子600は、フィン電界効果トランジスタ(FINFET)である。FINFET600は、基板602と、基板602からZ方向に延びている少なくとも1つのフィンフィーチャ(又はフィン)604と、フィン604の表面に沿ったゲート誘電体606と、ゲート誘電体606上のゲート電極608とを含む。ソース領域610及びドレイン領域612は、フィン604の反対側の基板602の上に配置される。フィン604、ソース領域610及びドレイン領域612は、1つ以上の実施形態では、図1A~4に関して説明した任意の活性領域に対応する活性領域(又はOD領域)に属する。少なくとも1つの実施形態では、ゲート電極608は、図1A~4に関して説明した任意のゲート領域に対応する。活性領域におけるフィンフィーチャの説明した構成は、一例である。他の構成は、様々な実施形態の範囲内にある。
いくつかの実施形態では、上述した方法の一部又は全部が、ICレイアウト図生成システムによって実行される。いくつかの実施形態では、ICレイアウト図生成システムは、後述するIC製造システムの設計ハウスの一部として使用可能である。
図7は、いくつかの実施形態に係る電子設計自動化(EDA)システム700のブロック図である。
いくつかの実施形態では、EDAシステム700は、APRシステムを含む。1つ以上の実施形態に係る、配線配置を表すレイアウト図を設計する本明細書で説明した方法は、例えば、いくつかの実施形態に係るEDAシステム700を使用して実装可能である。
いくつかの実施形態では、EDAシステム700は、ハードウェアプロセッサ702と、非一時的なコンピュータ読み取り可能な記憶媒体704とを含む、汎用コンピューティングデバイスである。記憶媒体704は、とりわけ、コンピュータプログラムコード706、すなわち、実行可能な命令のセットでコード化され、すなわち、記憶されている。ハードウェアプロセッサ702による命令706の実行は、1つ以上の実施形態(以下、記録されたプロセス及び/又は方法)に従って、本明細書に説明した方法の一部又は全部を実施するEDAツールを(少なくとも部分的に)表す。
プロセッサ702は、バス708によってコンピュータ読み取り可能な記憶媒体704に電気的に結合される。また、プロセッサ702は、バス708によってI/Oインタフェース710に電気的に結合される。ネットワークインタフェース712も、バス708を介してプロセッサ702に電気的に接続される。ネットワークインタフェース712は、ネットワーク714に接続されるため、プロセッサ702及びコンピュータ読み取り可能な記憶媒体704は、ネットワーク714を介して外部要素に接続することが可能である。プロセッサ702は、システム700が、指摘されたプロセス及び/又は方法の一部又は全部を実行するために使用可能であるようにするために、コンピュータ読み取り可能な記憶媒体704にコード化されたコンピュータプログラムコード706を実行するように構成される。1つ以上の実施形態では、プロセッサ702は、中央処理装置(CPU)、マルチプロセッサ、分散処理システム、特定用途向け集積回路(ASIC)、及び/又は適切な処理装置である。
1つ以上の実施形態では、コンピュータ読み取り可能な記憶媒体704は、電子、磁気、光学、電磁、赤外線、及び/又は半導体システム(又は、装置やデバイス)である。例えば、コンピュータ読み取り可能な記憶媒体704は、半導体又はソリッドステートメモリ、磁気テープ、リムーバブルコンピュータディスケット、ランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、リジッド磁気ディスク、及び/又は光ディスクを含む。光ディスクを使用する1つ以上の実施形態では、コンピュータ読み取り可能な記憶媒体704は、コンパクトディスク読み取り専用メモリ(CD-ROM)、コンパクトディスク読み取り/書き込み(CD-R/W)、及び/又はデジタルビデオディスク(DVD)を含む。
1つ以上の実施形態では、記憶媒体704は、システム700(そのような実行が(少なくとも部分的に)EDAツールを表す場合)を、記録されたプロセス及び/又は方法の一部又は全部を実行するために使用可能にするように構成されたコンピュータプログラムコード706を記憶する。1つ以上の実施形態では、記憶媒体704は、記録されたプロセス及び/又は方法の一部又は全部の実行を容易にする情報も記憶する。1つ以上の実施形態では、記憶媒体704は、本明細書に開示されるようなスタンダードセルを含むスタンダードセルライブラリ707を記憶する。
EDAシステム700は、I/Oインタフェース710を含む。I/Oインタフェース710は、外部回路に結合される。1つ以上の実施形態では、I/Oインタフェース710は、情報及びコマンドをプロセッサ702に通信するためのキーボード、キーパッド、マウス、トラックボール、トラックパッド、タッチスクリーン、及び/又はカーソル方向キーを含む。
EDAシステム700はまた、プロセッサ702に結合されたネットワークインタフェース712を含む。ネットワークインタフェース712は、システム700が、1つ以上の他のコンピュータシステムが接続されるネットワーク714と通信することを可能にする。ネットワークインタフェース712は、BLUETOOTH、WIFI、WIMAX、GPRS、又はWCDMAなどの無線ネットワークインタフェース、又はETHERNET、USB、又はIEEE-1364などの有線ネットワークインタフェースを含む。1つ以上の実施形態では、記録されたプロセス及び/又は方法の一部又は全部が2つ以上のシステム700で実施される。
システム700は、I/Oインタフェース710を介して情報を受信するように構成される。I/Oインタフェース710を介して受信した情報は、プロセッサ702による処理のための命令、データ、設計ルール、スタンダードセルのライブラリ、及び/又は他のパラメータのうちの1つ以上を含む。情報は、バス708を介してプロセッサ702に転送される。EDAシステム700は、I/Oインタフェース710を介して、UIに関連する情報を受信するように構成される。この情報は、ユーザインタフェース(UI)742としてコンピュータ読み取り可能な媒体704に記憶される。
いくつかの実施形態では、記録されたプロセス及び/又は方法の一部又は全部がプロセッサによって実行されるスタンドアロンのソフトウェアアプリケーションとして実装される。いくつかの実施形態では、記録されたプロセス及び/又は方法の一部又は全部は、追加のソフトウェアアプリケーションの一部であるソフトウェアアプリケーションとして実装される。いくつかの実施形態では、記録されたプロセス及び/又は方法の一部又は全部は、ソフトウェアアプリケーションのプラグインとして実装される。いくつかの実施形態では、記録されたプロセス及び/又は方法の少なくとも1つは、EDAツールの一部であるソフトウェアアプリケーションとして実装される。いくつかの実施形態では、記録されたプロセス及び/又は方法の一部又は全部は、EDAシステム700によって使用されるソフトウェアアプリケーションとして実装される。いくつかの実施形態では、スタンドセルを含むレイアウト図は、CADENCE DESIGN SYSTEMS, Inc.から入手可能なVIRTUOSO(登録商標)などのツール、又は他の適切なレイアウト生成ツールを使用して生成される。
いくつかの実施形態では、プロセスは、非一時的なコンピュータ読み取り可能な記録媒体に記憶されたプログラムの機能として実現される。非一時的なコンピュータ読み取り可能な記録媒体の例としては、外部/取り外し可能及び/又は内部/内蔵の記憶装置又はメモリユニット、例えば、DVDなどの光ディスク、ハードディスクなどの磁気ディスク、ROMなどの半導体メモリ、RAM、メモリカードなどの1つ以上が挙げられるが、これらに限定されない。
図8は、いくつかの実施形態に係る、集積回路(IC)製造システム800、及びそれに関連するIC製造フローのブロック図である。いくつかの実施形態では、レイアウト図に基づいて、製造システム800を用いて、(A)1つ以上の半導体マスク、又は(B)半導体集積回路の層内の少なくとも1つのコンポーネントの少なくとも1つが製造される。
図8では、IC製造システム800は、設計ハウス820、マスクハウス830、及びIC製造業者/製作者(「ファブ」)850などのエンティティを含み、設計、開発、製造サイクル及び/又はICデバイス860の製造に関連するサービスにおいて、相互に作用する。システム800内のエンティティは、通信ネットワークによって接続される。いくつかの実施形態では、通信ネットワークは、単一のネットワークである。いくつかの実施形態では、通信ネットワークは、イントラネット及びインターネットなど、様々な異なるネットワークである。通信ネットワークは、有線及び/又は無線の通信チャネルを含む。各エンティティは、1つ以上の他のエンティティと相互作用し、1つ以上の他のエンティティにサービスを提供し、及び/又は、1つ以上の他のエンティティからサービスを受信する。いくつかの実施形態では、設計ハウス820、マスクハウス830、及びICファブ850のうちの2つ以上は、単一の大企業によって所有される。いくつかの実施形態では、設計ハウス820、マスクハウス830、及びICファブ850のうちの2つ以上は、共通の施設内に共存し、共通のリソースを使用する。
設計ハウス(又は設計チーム)820は、IC設計レイアウト図822を生成する。IC設計レイアウト図822は、ICデバイス860のために設計された様々な幾何学的パターンを含む。幾何学的パターンは、製造されるICデバイス860の様々なコンポーネントを構成する金属層、酸化物層、又は半導体層のパターンに対応する。様々な層は、様々なICフィーチャを形成するために組み合わされる。例えば、IC設計レイアウト図822の一部には、半導体基板(シリコンウェハなど)に形成される活性領域、ゲート電極、ソース及びドレイン、層間配線のメタルライン又はビア、ボンディングパッド用の開口部などの様々なICフィーチャと、半導体基板上に配置される様々な材料層とが含まれる。デザインハウス820は、適切な設計手順を実施して、IC設計レイアウト図822を形成する。設計手順は、論理設計、物理設計、又は配置配線のうちの1つ以上を含む。IC設計レイアウト図822は、幾何学的パターンの情報を有する1つ以上のデータファイルで提示される。例えば、IC設計レイアウト図822は、GDSIIファイルフォーマットやDFIIファイルフォーマットで表現することができる。
マスクハウス830は、データ準備832及びマスク製造844を含む。マスクハウス830は、IC設計レイアウト図822を使用して、IC設計レイアウト図822に従ってICデバイス860の様々な層を製造するために使用される1つ以上のマスク845を製造する。マスクハウス830は、マスクデータ準備832を行い、IC設計レイアウト図822を代表的なデータファイル(「RDF」)に変換する。マスクデータ準備832は、RDFをマスク製造844に提供する。マスク製造844は、マスクライタを含む。マスクライタは、RDFを、マスク(レチクル)845又は半導体ウェハ853などの基板上の画像に変換する。設計レイアウト図822は、マスクデータ準備832によって、マスクライタの特定の特性及び/又はICファブ850の要件に適合するように操作される。図8では、マスクデータ準備832とマスク製造844が別個の要素として示される。いくつかの実施形態では、マスクデータ準備832及びマスク製造844は、まとめてマスクデータ準備ということができる。
いくつかの実施形態では、マスクデータ準備832は、リソグラフィ強化技術を使用して、回折、干渉、他のプロセス効果などから生じる可能性のある画像エラーを補正する光学的近接補正(OPC)を含む。OPCは、IC設計レイアウト図822を調整する。いくつかの実施形態では、マスクデータ準備832は、オフアクシス照明、サブレゾリューションアシストフィーチャ、位相シフトマスク、他の適切な技術など、又はそれらの組み合わせなど、さらなる解像度向上技術(RET)を含む。いくつかの実施形態では、OPCを逆イメージング問題として扱う逆リソグラフィ技術(ILT)も使用される。
いくつかの実施形態では、マスクデータ準備832は、OPCでの処理を経たIC設計レイアウト図822を、十分なマージンを確保するため、半導体製造プロセスの変動性を考慮するため、特定の幾何学的及び/又は接続性の制限を含むマスク作成ルールのセットでチェックする、マスクルールチェッカ(MRC)を含む。いくつかの実施形態では、MRCは、マスク製造844の間に制限を補うためにIC設計レイアウト図822を修正し、これは、マスク作成ルールを満たすためにOPCによって実行された修正の一部を元に戻すことができる。
いくつかの実施形態では、マスクデータ準備832は、ICデバイス860を製造するためにICファブ850によって実施される処理をシミュレートするリソグラフィプロセスチェック(LPC)を含む。LPCは、IC設計レイアウト図822に基づいてこの処理をシミュレーションして、ICデバイス860などのシミュレートされた製造デバイスを作成する。LPCシミュレーションにおける処理パラメータは、IC製造サイクルの様々なプロセスに関連するパラメータ、ICの製造に使用されるツールに関連するパラメータ、及び/又は製造プロセスの他の側面を含むことができる。LPCは、空中画像のコントラスト、焦点深度(「DOF」)、マスク・エラー・エンハンスメント・ファクター(「MEEF」)、他の適切なファクターなどの様々なファクター、又はそれらの組み合わせを考慮に入れる。いくつかの実施形態では、LPCによってシミュレートされた製造デバイスが作成された後、シミュレートされたデバイスの形状が設計ルールを満たすのに十分に近くない場合、OPC及び/又はMRCが繰り返され、IC設計レイアウト図822をさらに洗練させる。
なお、マスクデータ準備832の上記の説明は、明確にする目的で簡略化されていることを理解すべきである。いくつかの実施形態では、データ準備832は、製造ルールに従ってIC設計レイアウト図822を修正するための論理演算(LOP)などの追加のフィーチャを含む。さらに、データ準備832の間にIC設計レイアウト図822に適用される処理は、様々な異なる順序で実行されてもよい。
マスクデータ準備832の後、マスク製造844の間に、修正されたIC設計レイアウト図822に基づいて、マスク845又はマスク845のグループが製造される。いくつかの実施形態では、マスク製造844は、IC設計レイアウト図822に基づいて1つ以上のリソグラフィ露光を行うことを含む。いくつかの実施形態では、電子ビーム(e-beam)又は複数の電子ビームの機構は、修正されたIC設計レイアウト図822に基づいて、マスク(フォトマスク又はレチクル)845上にパターンを形成するために使用される。マスク845は、様々な技術で形成することができる。いくつかの実施形態では、マスク845は、バイナリ技術を用いて形成される。いくつかの実施形態では、マスクパターンは、不透明な領域と透明な領域とを含む。ウエハ上に塗布された感光材料層(例えば、フォトレジスト)を露光するために使用される紫外線(UV)ビームなどの放射線ビームは、不透明領域によって遮断され、透明領域を透過する。一例では、マスク845のバイナリマスクバージョンは、透明基板(例えば、溶融石英)と、バイナリマスクの不透明領域にコーティングされた不透明材料(例えば、クロム)とを含む。別の例では、マスク845は、位相シフト技術を用いて形成される。マスク845の位相シフトマスク(PSM)バージョンでは、位相シフトマスク上に形成されたパターンの様々なフィーチャは、解像度及びイメージング品質を高めるために適切な位相差を有するように構成される。様々な例では、位相シフトマスクは、減衰PSM又は交替PSMであり得る。マスク製造844によって生成されたマスクは、様々なプロセスで使用される。例えば、このようなマスクは、半導体ウェハ853に様々なドーピング領域を形成するためのイオン注入プロセス、半導体ウェハ853に様々なエッチング領域を形成するためのエッチングプロセス、及び/又は他の適切なプロセスにおいて使用される。
ICファブ850は、様々な異なるIC製品を製造するための1つ以上の製造施設を含む、IC製造事業である。いくつかの実施形態では、ICファブ850は、半導体ファウンドリである。例えば、複数のIC製品のフロントエンド製造(ロントエンドオブライン(FEOL)製造)のための製造施設があり、一方で、第2の製造施設がIC製品の配線及びパッケージングのためのバックエンド製造(バックエンドオブライン(BEOL)製造)を提供し、第3の製造施設がファウンドリ事業のための他のサービスを提供することができる場合がある。
ICファブ850は、マスク、例えばマスク845に従ってICデバイス860が製造されるように、半導体ウェハ853上で様々な製造操作を実行するように構成された製造ツール852を含む。様々な実施形態では、製造ツール852は、ウェハステッパ、イオン注入装置、フォトレジストコータ、プロセスチャンバ、例えばCVDチャンバ又はLPCVD炉、CMPシステム、プラズマエッチングシステム、ウェハ洗浄システム、又は本明細書で論じられるような1つ以上の適切な製造プロセスを実行することができる他の製造装置のうちの1つ以上を含む。
ICファブ850は、マスクハウス830によって製造されたマスク845を使用して、ICデバイス860を製造する。したがって、ICファブ850は、ICデバイス860を製造するために、少なくとも間接的にIC設計レイアウト図822を使用する。いくつかの実施形態では、半導体ウェハ853は、ICデバイス860を形成するために、マスク845を使用してICファブ850によって製造される。いくつかの実施形態では、IC製造は、IC設計レイアウト図822に少なくとも間接的に基づいて、1つ以上のリソグラフィ露光を実行することを含む。半導体ウェハ853は、その上に形成された材料層を有するシリコン基板又は他の適切な基板を含む。半導体ウェハ853は、(後続の製造ステップで形成された)様々なドーピング領域、誘電体フィーチャ、多層配線などの1つ以上をさらに含む。
集積回路(IC)製造システム(例えば、図8のシステム800)、及びそれに関連するIC製造フローに関する詳細は、例えば、2016年2月9日に付与された米国特許第9,256,709号、2015年10月1日に公開された米国付与前の公表第20150278429号、2014年2月6日に公開された米国付与前の公表20140040838号、及び2007年8月21日に付与された米国特許第7,260,442号に記載されており、これらのそれぞれの全体が参照により本明細書に組み込まれる。
いくつかの実施形態では、集積回路(IC)デバイスは、第1の方向及び第1の方向と直交する第2の方向に間隔をおいて配置された複数のTAPセルを含む。複数のTAPセルは、少なくとも1つの第1のTAPセルを含む。第1のTAPセルは、第2の方向に連続して配置された2つの第1の端部エリアと第1の中間エリアとを含む。第1の中間エリアは、第1のタイプの第1のウェル領域に注入された第1のタイプの第1のドーパントを含む。第1の端部エリアは、第1の中間エリアの第2の方向の反対側に配置される。第1の端部エリアのそれぞれは、第1のウェル領域に注入された、第1のタイプと異なる第2のタイプの第2のドーパントを含む。
いくつかの実施形態では、方法は、基板の上に、複数の第1の列及び複数の第1の行に、第1のタイプの複数の第1のTAPセルを形成し、基板の上に、複数の第2の列及び複数の第2の行に、第2のタイプの複数の第2のTAPセルを形成することを含む。第2のタイプは、第1のタイプと異なる。複数の第1の行及び複数の第2の行は、第1の方向に延びている。複数の第1の列及び複数の第2の列は、第1の方向に交互に配置され、互いに間隔をおいて配置され、第1の方向と直交する第2の方向に延びている。複数の第1の行のうちの各第1の行の第1のTAPセルは、第1の方向において、複数の第2の行のうちの対応する第2の行の第2のTAPセルと部分的に重なっている。
いくつかの実施形態では、システムは、第1のタイプの複数の第1のウェル領域と、第1のタイプとは異なる第2のタイプの複数の第2のウェル領域とを配置し、第1のタイプの複数の第1の接続ウェル領域を配置し、そして複数の第1のTAPセルを配置することにより、集積回路(IC)レイアウト図においてTAPセル配置を実行するように構成されたプロセッサを含む。複数の第1のウェル領域及び複数の第2のウェル領域は、第1の方向に延びており、第1の方向と直交する第2の方向に交互に配置される。複数の第1の接続ウェル領域のうちの各第1の接続ウェル領域は、第2の方向において、複数の第1のウェル領域のうちの一対の隣接する第1のウェル領域の間、及び、複数の第2のウェル領域のうちの第2のウェル領域を横切って延びている。複数の第1のTAPセルのうちの各第1のTAPセルは、複数の第1の接続ウェル領域のうちの第1の接続ウェル領域に対応して配置される。
前述のことは、当業者が本開示の態様をよりよく理解できるように、いくつかの実施形態の特徴を概説している。当業者であれば、本明細書に導入された実施形態の同じ目的を実行し、及び/又は同じ利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として本開示を容易に使用できることを理解できる。当業者であれば、特許請求の範囲に記載された範疇内において、各種の変更例、置換例及び修正例に想到し得ることは明らかであり、それらについても当然に本開示の技術的範囲に属するものと了解される。

Claims (20)

  1. 第1の方向及び前記第1の方向と直交する第2の方向に間隔をおいて配置された複数のTAPセルを含み、
    前記複数のTAPセルは、少なくとも1つの第1のTAPセルを含み、
    前記第1のTAPセルは、前記第2の方向に連続して配置された2つの第1の端部エリアと第1の中間エリアとを含み、
    前記第1の中間エリアは、第1のタイプの第1のウェル領域に注入された第1のタイプの第1のドーパントを含み、そして
    前記第1の端部エリアは、前記第1の中間エリアの前記第2の方向の反対側に配置され、前記第1の端部エリアのそれぞれは、前記第1のウェル領域に注入された、第1のタイプと異なる第2のタイプの第2のドーパントを含む、集積回路(IC)デバイス。
  2. 前記複数のTAPセルは、少なくとも1つの第2のTAPセルをさらに含み、
    前記第2のTAPセルは、前記第2の方向に連続して配置された2つの第2の端部エリアと第2の中間エリアとを含み、
    前記第2の中間エリアは、前記第2のタイプの第2のウェル領域に注入された前記第2のドーパントを含み、
    前記第2の端部エリアは、前記第2の中間エリアの前記第2の方向の反対側に配置され、前記第2の端部エリアのそれぞれは、前記第2のウェル領域に注入された第1のドーパントを含む、請求項1に記載のICデバイス。
  3. 前記第1のTAPセルの前記第2の方向の高さの半分である、前記第1の中間エリアの第2の方向の高さと、
    前記第2のTAPセルの前記第2の方向の高さの半分である、前記第2の中間エリアの前記第2の方向の高さと、の少なくとも1つを含む請求項2に記載のICデバイス。
  4. 前記第1のTAPセル又は前記第2のTAPセルの少なくとも1つの前記第2の方向の高さは、デバイスセルの高さの2倍である、請求項3に記載のICデバイス。
  5. 前記デバイスセルの高さは、0.025μm~0.300μmであり、
    前記第1のTAPセル又は前記第2のTAPセルの少なくとも1つの前記第2の方向の高さは、0.05μm~0.600μmである、請求項4に記載のICデバイス。
  6. 前記第1のTAPセルの一方の前記第1の端部エリアは、前記第1の方向において、前記第2のTAPセルの前記第2の中間エリアと重なっており、
    前記第2のTAPセルの一方の前記第2の端部エリアは、前記第1の方向において、前記第1のTAPセルの前記第1の中間エリアと重なっている、請求項2に記載のICデバイス。
  7. 前記第1のTAPセルの他方の前記第1の端部エリアは、前記第1の方向において、前記第2のTAPセルの前記第2の中間エリアと重なっておらず、
    前記第2のTAPセルの他方の前記第2の端部エリアは、前記第1の方向において、前記第1のTAPセルの前記第1の中間エリアと重なっていない、請求項6に記載のICデバイス。
  8. 前記少なくとも1つの第1のTAPセルは、前記第2の方向に延びている複数の第1の列に配置された複数の第1のTAPセルを含み、
    前記少なくとも1つの第2のTAPセルは、前記第2の方向に延びている複数の第2の列に配置された複数の第2のTAPセルを含み、そして
    前記複数の第1の列と前記複数の第2の列は、前記第1の方向に交互に配置される、請求項2に記載のICデバイス。
  9. 前記複数の第1のTAPセルのうちの第1のTAPセルから、前記複数の第2のTAPセルのうちの隣接する第2のTAPセルまで、又は、前記複数の第2のTAPセルのうちの第2のTAPセルから、前記複数の第1のTAPセルのうちの隣接する第1のTAPセルまでの、第1の方向の第1の最大デバイスTAP間距離は、1μm~300μmであり、
    前記複数の第1のTAPセルのうちの第1のTAPセルの第1の中間エリアから前記第2のタイプの隣接するウェル領域まで、又は前記複数の第2のTAPセルのうちの第2のTAPセルの第2の中間エリアから第1のタイプの隣接するウェル領域までの、第2の方向の第2の最大デバイスTAP間距離は、0.025μm~0.300μmである、請求項8に記載のICデバイス。
  10. 前記複数の第1のTAPセルのそれぞれは、前記第1の方向において、前記複数の第2のTAPセルのうちの2つの隣接する第2のTAPセルの間に配置され、前記各第1のTAPセルの第1のウェル領域は、前記2つの隣接する第2のTAPセルの間の第1のタイプのウェル領域に連続して延びており、
    前記複数の第2のTAPセルのそれぞれは、前記第1の方向において、前記複数の第1のTAPセルのうちの2つの隣接する第1のTAPセルの間に配置され、前記各第2のTAPセルの前記第2のウェル領域は、前記2つの隣接する第1のTAPセルの間の第2のタイプのウェル領域に連続して延びている、請求項8に記載のICデバイス。
  11. 前記2つの隣接する第1のTAPセルの間の前記第2タイプのウェル領域は、前記第1タイプの第1のトランジスタを含み、前記各第2のTAPセルは、前記2つの隣接する第1のTAPセルの間の前記第2のタイプのウェル領域にある前記第1のトランジスタのボディ電流を集めるように構成され、
    前記2つの隣接する第2のTAPセルの間の前記第1のタイプのウェル領域は、前記第2のタイプの第2のトランジスタを含み、前記各第1のTAPセルは、前記2つの隣接する第2のTAPセルの間の前記第1のタイプのウェル領域にある前記第2のトランジスタのボディ電流を集めるように構成される、請求項10に記載のICデバイス。
  12. 基板の上に、第1のタイプの複数のTAPセルを複数の第1の列及び複数の第1の行に形成し、
    前記基板の上に、前記第1のタイプと異なる第2のタイプの複数の第2のTAPセルを、複数の第2の列及び複数の第2の行に形成することを含み、
    前記複数の第1の行及び前記複数の第2の行は、第1の方向に延びており、
    前記複数の第1の列及び前記複数の第2の列は、前記第1の方向に交互に配置され、互いに間隔をおいて配置され、前記第1の方向と直交する第2の方向に延びている。
    前記複数の第1の行のうちの各第1の行の第1のTAPセルは、前記第1の方向において、前記複数の第2の行のうちの対応する第2の行の第2のTAPセルと部分的に重なっている、方法。
  13. 前記複数の第1のTAPセルを形成する前に、及び前記複数の第2のTAPセルを形成する前に、
    前記基板の上に、第1のタイプの複数の第1のウェル領域と、第2のタイプの複数の第2のウェル領域とを形成することをさらに含み、
    前記複数の第1のウェル領域と前記複数の第2のウェル領域は、前記第1の方向に延びて、前記第2の方向に交互に配置され、
    前記複数の第1のTAPセルを形成する際に、前記複数の第1のTAPセルのうちの各第1のTAPセルは、前記第2の方向において、前記複数の第1のウェル領域のうちの1つの第1のウェル領域から、前記複数の第2のウェル領域のうちの第2のウェル領域を横切って隣接する第1のウェル領域まで延びているように形成され、
    前記複数の第2のTAPセルを形成する際に、前記複数の第2のTAPセルのうちの各第2のTAPセルは、前記第2方向において、前記複数の第2のウェル領域のうちの1つの第2のウェル領域から、前記複数の第1のウェル領域のうちの第1のウェル領域を横切って隣接する第2のウェル領域まで延びているように形成される、請求項12に記載の方法。
  14. 前記複数の第1のTAPセルを形成する前に、及び前記複数の第2のTAPセルを形成する前に、
    前記基板の上に、第1のタイプの複数の第1のウェル領域と、第2のタイプの複数の第2のウェル領域とを形成し、
    前記基板の上に、第1のタイプの複数の第1の接続ウェル領域と、第2のタイプの複数の第2の接続ウェル領域とを形成することをさらに含み、
    前記複数の第1のウェル領域と前記複数の第2のウェル領域は、前記第1の方向に延びて、前記第2の方向に交互に配置され、
    前記複数の第1の接続ウェル領域のうちの各第1の接続ウェル領域は、前記第2の方向において、前記複数の第1のウェル領域のうちの一対の隣接する第1のウェル領域の間、及び、前記複数の第2のウェル領域のうちの第2のウェル領域を横切って延びており、
    前記複数の第2の接続ウェル領域のうちの各第2の接続ウェル領域は、前記第2の方向において、前記複数の第2のウェル領域のうちの一対の隣接する第2のウェル領域の間、及び、前記複数の第1のウェル領域のうちの第1のウェル領域を横切って延びている、請求項12に記載の方法。
  15. 前記複数の第1のTAPセルを形成する際に、前記複数の第1のTAPセルのうちの各第1のTAPセルは、前記複数の第1の接続ウェル領域のうちの第1の接続ウェル領域の上に形成され、
    前記複数の第2のTAPセルを形成する際に、前記複数の第2のTAPセルのうちの各第2のTAPセルは、前記複数の第2の接続ウェル領域のうちの第2の接続ウェル領域の上に形成される、請求項14に記載の方法。
  16. 前記各第1のTAPセルは、前記第2の方向に連続して配置された2つの第1の端部エリアと第1の中間エリアとを含み、
    前記第1の端部エリアは、前記第1の中間エリアの前記第2の方向の反対側に配置され、前記各第1のTAPセルに対応する前記第1の接続ウェル領域が延びている前記隣接する第1のウェル領域の上にそれぞれ形成され、
    前記第1の中間エリアは、前記各第1のTAPセルに対応する前記第1の接続ウェル領域の上に形成され、
    前記各第2のTAPセルは、前記第2の方向に連続して配置された2つの第2の端部エリアと第2の中間エリアとを含み、
    前記第2の端部エリアは、前記第2の中間エリアの前記第2の方向の反対側に配置され、前記各第2のTAPセルに対応する前記第2の接続ウェル領域が延びている前記隣接する第2のウェル領域の上にそれぞれ形成され、
    前記第2の中間エリアは、前記各第2のTAPセルに対応する前記第2の接続ウェル領域の上に形成される、請求項15に記載の方法。
  17. 前記複数の第1のTAPセルのうちの第1のTAPセルの一方の第1の端部エリアは、前記第1の方向において、前記複数の第2のTAPセルのうちの第2のTAPセルの第2の中間エリアと重なっており、
    前記第2のTAPセルの一方の前記第2の端部エリアは、前記第1の方向において、前記第1のTAPセルの前記第1の中間エリアと重なっている、請求項16に記載の方法。
  18. 前記第1のTAPセルの他方の前記第1の端部エリアは、前記第1の方向において、前記第2のTAPセルの前記第2の中間エリアと重なっておらず、
    前記第2のTAPセルの他方の前記第2の端部エリアは、前記第1の方向において、前記第1のTAPセルの前記第1の中間エリアと重なっていない、請求項17に記載の方法。
  19. 第1のタイプの複数の第1のウェル領域と、前記第1のタイプとは異なる第2のタイプの複数の第2のウェル領域とを配置するステップであって、前記複数の第1のウェル領域及び前記複数の第2のウェル領域が第1の方向に延びており、前記第1の方向と直交する第2の方向に交互に配置されるステップと、
    前記第1のタイプの複数の第1の接続ウェル領域を配置するステップであって、前記複数の第1の接続ウェル領域のうちの各第1の接続ウェル領域が、前記第2の方向において、前記複数の第1のウェル領域のうちの一対の隣接する第1のウェル領域の間、及び、前記複数の第2のウェル領域のうちの第2のウェル領域を横切って延びているステップと、
    複数の第1のTAPセルを配置するステップであって、前記複数の第1のTAPセルのうちの各第1のTAPセルが前記複数の第1の接続ウェル領域のうちの第1の接続ウェル領域に対応して配置されるステップとによって、集積回路(IC)レイアウト図においてTAPセル配置を実行するように構成されたプロセッサを含む、システム。
  20. 前記プロセッサは、さらに、
    前記第2のタイプの複数の第2の接続ウェル領域を配置するステップであって、前記複数の第2の接続ウェル領域のうちの各第2の接続ウェル領域が、前記第2の方向において、前記複数の第2のウェル領域のうちの一対の隣接する第2のウェル領域の間、及び、前記複数の第1のウェル領域のうちの第1のウェル領域を横切って延びているステップと、
    複数の第2のTAPセルを配置するステップであって、前記複数の第2のTAPセルのうちの各第2のTAPセルが、前記複数の第2の接続ウェル領域のうちの第2の接続ウェル領域に対応して配置されるステップとによって、TAPセル配置を実行するように構成される、請求項19に記載のシステム。
JP2021122520A 2020-07-28 2021-07-27 集積回路デバイス、方法及びシステム Pending JP2022025049A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/940,930 2020-07-28
US16/940,930 US20220037365A1 (en) 2020-07-28 2020-07-28 Integrated circuit device, method, and system

Publications (1)

Publication Number Publication Date
JP2022025049A true JP2022025049A (ja) 2022-02-09

Family

ID=77367232

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021122520A Pending JP2022025049A (ja) 2020-07-28 2021-07-27 集積回路デバイス、方法及びシステム

Country Status (5)

Country Link
US (2) US20220037365A1 (ja)
EP (1) EP3945576A1 (ja)
JP (1) JP2022025049A (ja)
CN (1) CN113471189A (ja)
TW (1) TW202205132A (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11562994B2 (en) * 2021-06-29 2023-01-24 Qualcomm Incorporated Dummy cell and tap cell layout structure
US20240038760A1 (en) * 2022-08-01 2024-02-01 Qualcomm Incorporated Integrated circuit cell with dual row, back-to-back, transistor body ties

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6560753B2 (en) * 2001-02-07 2003-05-06 Hewlett-Packard Development Company, L.P. Integrated circuit having tap cells and a method for positioning tap cells in an integrated circuit
US7260442B2 (en) * 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
JP5552775B2 (ja) * 2009-08-28 2014-07-16 ソニー株式会社 半導体集積回路
US9768119B2 (en) * 2010-07-28 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mitigating dynamic IR voltage drop and electromigration affects
US9082886B2 (en) * 2011-05-12 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Adding decoupling function for tap cells
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8850366B2 (en) * 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) * 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) * 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9679915B2 (en) * 2015-05-15 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with well and substrate contacts
US10157910B2 (en) * 2015-12-30 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Circuits and structures including tap cells and fabrication methods thereof
US10605859B2 (en) * 2016-09-14 2020-03-31 Qualcomm Incorporated Visible alignment markers/landmarks for CAD-to-silicon backside image alignment
US10734377B2 (en) * 2016-11-29 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US10490558B2 (en) * 2017-05-31 2019-11-26 Qualcomm Incorporated Reducing or avoiding mechanical stress in static random access memory (SRAM) strap cells
US10515687B2 (en) * 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Strap cell design for static random access memory (SRAM) array
JP7060814B2 (ja) * 2018-01-19 2022-04-27 株式会社ソシオネクスト 半導体集積回路装置
US10872190B2 (en) * 2018-07-16 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for latch-up prevention
US10886224B2 (en) * 2019-05-22 2021-01-05 Samsung Electronics Co., Ltd. Power distribution network using buried power rail
US11515199B2 (en) * 2019-08-26 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures including standard cells and tap cells
US20210249400A1 (en) * 2020-02-07 2021-08-12 Renesas Electronics Corporation Semiconductor device

Also Published As

Publication number Publication date
CN113471189A (zh) 2021-10-01
US20220384274A1 (en) 2022-12-01
TW202205132A (zh) 2022-02-01
EP3945576A1 (en) 2022-02-02
US20220037365A1 (en) 2022-02-03

Similar Documents

Publication Publication Date Title
US11861282B2 (en) Integrated circuit fin structure manufacturing method
US20220359367A1 (en) Cell having stacked pick-up region
CN111834362B (zh) 集成电路和制造集成电路的方法
US20220384274A1 (en) Method and system for manufacturing integrated circuit device
US20230261003A1 (en) Integrated circuit device and method
KR102558320B1 (ko) 집적 회로 디바이스 및 방법
US11664311B2 (en) Method and structure to reduce cell width in semiconductor device
KR102459561B1 (ko) 집적 회로 디바이스 및 방법
US11151297B2 (en) Multiple fin count layout, method, system, and device
US20230154917A1 (en) Non-transitory computer-readable medium, integrated circuit device and method
KR102580571B1 (ko) 레벨 시프팅 회로 및 방법
CN113314529A (zh) 集成电路装置
KR102607138B1 (ko) 집적 회로 디바이스, 시스템 및 방법
US20230067734A1 (en) Integrated circuit device, method and system
TWI753464B (zh) 半導體、積體電路元件及其製造方法
US20240088126A1 (en) Cell structure having different poly extension lengths
US20230260984A1 (en) Semiconductor structure including boundary header cell and method for manufacturing the same
US20230043245A1 (en) High voltage guard ring semiconductor device and method of forming same
US20230307386A1 (en) Boundary cells adjacent to keep-out zones
US20230022333A1 (en) Integrated circuit and method of forming the same
TWI660584B (zh) 多工器電路、對電壓進行多工的裝置及其操作方法
US20230359798A1 (en) Circuit arrangements having reduced dependency on layout environment
TW202349657A (zh) 積體電路結構及其製造方法
TW202234654A (zh) 積體電路、半導體結構及其形成方法
KR20240002217A (ko) 집적 회로 디바이스 및 제조 방법