JP2021528875A - クロック及び電圧の自律セキュリティ及び機能安全のための装置 - Google Patents

クロック及び電圧の自律セキュリティ及び機能安全のための装置 Download PDF

Info

Publication number
JP2021528875A
JP2021528875A JP2020549773A JP2020549773A JP2021528875A JP 2021528875 A JP2021528875 A JP 2021528875A JP 2020549773 A JP2020549773 A JP 2020549773A JP 2020549773 A JP2020549773 A JP 2020549773A JP 2021528875 A JP2021528875 A JP 2021528875A
Authority
JP
Japan
Prior art keywords
clock
counter
output
multiplexer
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020549773A
Other languages
English (en)
Inventor
クルト,ナッサー
モサリカンティ,プラヴィーン
ヘッジ,スリプシ
エル. ネイデンガード,マーク
ジェイ. グロスニッケル,ヴォーン
ワン,チー
ラメシュ,カンダダイ
Original Assignee
インテル コーポレイション
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション, インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2021528875A publication Critical patent/JP2021528875A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/81Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer by operating on the power supply, e.g. enabling or disabling power-on, sleep or resume operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/06Clock generators producing several clock signals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/28Supervision thereof, e.g. detecting power-supply failure by out of limits supervision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K21/00Details of pulse counters or frequency dividers
    • H03K21/08Output circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/22Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral
    • H03K5/24Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral the characteristic being amplitude
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/085Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal
    • H03L7/095Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a lock detector
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/16Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop
    • H03L7/18Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop
    • H03L7/197Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop a time difference being used for locking the loop, the counter counting between numbers which are variable in time or the frequency divider dividing by a factor variable in time, e.g. for obtaining fractional frequency division
    • H03L7/1974Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop a time difference being used for locking the loop, the counter counting between numbers which are variable in time or the frequency divider dividing by a factor variable in time, e.g. for obtaining fractional frequency division for fractional frequency division
    • H03L7/1976Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop a time difference being used for locking the loop, the counter counting between numbers which are variable in time or the frequency divider dividing by a factor variable in time, e.g. for obtaining fractional frequency division for fractional frequency division using a phase accumulator for controlling the counter or frequency divider
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/085Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal
    • H03L7/093Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using special filtering or amplification characteristics in the loop
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Computer Security & Cryptography (AREA)
  • Nonlinear Science (AREA)
  • Mathematical Physics (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)
  • Electric Clocks (AREA)
  • Manipulation Of Pulses (AREA)
  • Power Sources (AREA)

Abstract

クロックと電圧の自律セキュリティと機能安全(FUSA)のための装置を提供する。装置は:ダイの外部で第1のクロックを受信するためにピンに通信可能に結合される第1の入力、および分周器の出力に結合される第2の入力を有するマルチプレクサと;第2のクロックを提供するオシレータと;マルチプレクサの出力およびオシレータに結合されるカウンタであって、第2のクロックで動作するとともに、第1のクロックの周波数を決定する、カウンタと;を含み得る。この装置は、さらに、FUSAのために電圧を監視するための電圧モニタ回路と、FUSAのための基準発生器と、FUSAのためにデューティサイクルモニタと、FUSAのための周波数劣化モニタと、FUSAのための位相誤差劣化モニタとを含み得る。

Description

[優先権の主張]
本出願は、その全体が参照により援用される、““Apparatus for Autonomous Security and Functional Safety of Clock and Voltages”と題する、2018年6月27日に出願された米国特許出願第16/020,918号の優先権を主張する。
ハードウェアおよびソフトウェアのセキュリティは、コンピュータデバイス(例えば、ラップトップ、タブレット、スマートフォン、コンピュータ、サーバー、モノのインターネットなど)が日常的なユーザのファブリック(fabric)になるとき、最も重要である。プロセッサの物理ピン上の信号は、プロセッサに意図しない機能を実行させるように操作される可能性がある。例えば、プロセッサの内部使用のための不揮発性メモリに記憶されたデータは、プロセッサのピン上の異なる信号を使用する権限のないユーザによって検索される可能性がある。同様に、プロセッサ上で実行されるソフトウェアは、プロセッサのハードウェアに、認可されていない機能(例えば、位相ロックループの分周比(divider ratI/O)を低下させる)を実行させる可能性がある。コンピューティングデバイスからソフトウェアウィルスを鎮静するおよび/または除去するために多くの努力がなされてきたが、ハードウェアは、洗練されたハッカーに対しては、ほとんど保護されていないままである。
本開示の実施形態は、以下に与えられる詳細な説明から、および本開示の様々な実施形態の添付の図面からより完全に理解されるであろうが、これらは、本開示を特定の実施形態に限定するものと解釈されるべきではなく、単に説明および理解のためのものである。
本開示のいくつかの実施形態による、機能安全(FUSA)をプロセッサに提供するためのクロックおよび電圧モニタの高レベルアーキテクチャを示す。 いくつかの実施形態による、高レベルアーキテクチャのタイミング図を示すプロットを示す。 いくつかの実施形態による、FUSAのためにクロックの周波数を監視するための狭い範囲の単一周波数オシレータを示す。 いくつかの実施形態による、FUSAのために電圧を監視するための電圧モニタ回路を示す。 いくつかの実施形態による、FUSAのための基準発生器を示す。 いくつかの実施形態による、FUSAを監視するための1つまたは複数の性能監視回路に結合されるクロックアーキテクチャを示す。 いくつかの実施形態による、FUSAを提供するための並列プレフィックスツリーアーキテクチャに基づくスケーラブルコンパレータを示す。 いくつかの実施形態による、FUSAのデューティサイクルモニタを示す。 いくつかの実施形態による、FUSAのための周波数劣化モニタおよび経年変化の影響を示すプロットをそれぞれ示す。 いくつかの実施形態による、FUSAのための周波数劣化モニタおよびエイジングの影響を示すプロットをそれぞれ示す。 いくつかの実施形態による、基準クロックをフィードバッククロックと比較し、位相ロックのインジケータを生成する回路を示す。 いくつかの実施形態による、FUSA目的のための位相誤差劣化を決定するためのロジックを示す。 いくつかの実施形態による、図1のアーキテクチャのためのパワーアップ検出器を示す。 本開示のいくつかの実施形態による、FUSAを改良するための装置を有するスマートデバイスまたはコンピュータシステムまたはSoC(システムオンチップ)を示す。
様々な実施形態が、厳密なセキュリティおよび機能安全(FUSA)要件を満たすために、関心のあるすべての外部および内部クロック周波数を連続的かつ正確に監視するための方法および装置を記載する。いくつかの実施形態では、FUSAのための装置は、ブートまたはヒューズダウン負荷(fuse down loads)に先立って、外部電圧およびクロックパラメータを自律的に監視する。例えば、種々の回路のトリミングまたは較正から独立して、装置は、外部電圧およびクロックパラメータを監視し、外部電圧およびクロックパラメータに対する予期しない変化を識別し、フラグを立てる。いくつかの実施形態では、組み合わされた電圧/クロックの正確なモニタが、1つの統合されたソリューションにおけるセキュリティおよび機能安全のために提供される。
いくつかの実施形態は、関心のあるクロック周波数および電圧を連続的に追跡し、最小および最大しきい値を超過した場合に、1つまたは複数の機能およびセキュリティコントローラにエラーを報告するモニタを提供する。これらのしきい値は、製品ごとにあらかじめプログラムされることができる、または、後の段階でプログラム可能であることができる。いくつかの実施形態では、非常に狭い周波数範囲のオシレータ(例えば、インダクタ−キャパシタ(LC)高周波タンク)が設けられ、これは、安定したクロックを送出して、外部および/または内部クロックを正確に監視および/またはサンプリングする。いくつかの実施形態では、いつ外部クロックがモニタに係合する(engage)ように切り換わる(toggles)かを検出するトグルモニタが設けられる。いくつかの実施形態では、電圧基準発生器(例えば、バンドギャップ(BG)回路)および電圧レギュレータ(例えば、低ドロップアウトレギュレータ)が、FUSAモニタが必要とする内部電圧を独立して発生するために設けられる。いくつかの実施形態では、電圧基準発生器および電圧レギュレータは、広い入力供給電圧範囲をサポートする。また、内蔵パワーオン検出器(POD)が、電源電圧および基準電圧発生を可能にするために設けられる。いくつかの実施形態では、電圧モニタは、電圧を常に追跡し、設定したしきい値からの任意の変化を報告する。そのような一実施形態では、セキュリティのために、ハードウェアのデフォルト値が、ヒューズダウン負荷の前に使用され、機能安全のための種々の回路のためのトリミングまたは較正コードが、トリミングまたは較正手順を可能にする前に適用されることができる。
いくつかの実施形態では、モニタによって見出されるいくつかのまたは全ての異常(例えば、オーバークロック、外部基準クロックの周波数の変化、供給電圧の変化など)は、下流の回路およびロジックの回路パラメータを再調整することによって緩和される(mitigated)ことができる。例えば、外部クロックの周波数が変化する場合、対応する位相ロックループ(PLL)は、正しいクロックを生成しない可能性がある。これを固定するために、外部クロック周波数が決定され、PLL出力クロックがその期待周波数に留まるように、PLL分周比に対する適切な変更が行われる。
いくつかの実施形態では、位相ロックループ(PLL)のフィードバッククロックを監視するための装置が設けられる。いくつかの実施形態では、フィードバッククロックは、モニタの精度を高めるために、それらのクロックをモニタする前に、分周器によって周波数で分割される。いくつかの実施形態は、PLLのためのフィードバッククロックを監視することに関連して説明されているが、既知の基準クロック周波数によって設定された既知の周波数を有する任意のクロックを監視することができる。いくつかの実施形態では、高速カウンタ/ロジックの複製を回避しながら、関心のあるいくつかのクロックの中から監視するクロックを交替させる(rotates)FUSAコントローラが設けられる。いくつかの実施形態では、モニタは、指定されたロックタイマーの後にPLLクロック分周比を内部的に変更するために、BIST(内蔵セルフテスト)を伴うHVM(大量モニタリング)に使用される。例えば、様々な実施形態のモニタは、正確さについてHDMI(登録商標)を300以上の周波数で試験する(test HDMI 300+ frequencies)ために使用され、これは、試験時間を大幅に短縮する。
以下の説明では、本開示の実施形態のより完全な説明を提供するために、多数の詳細が議論される。しかし、当業者には、本開示の実施形態が、これらの特定の詳細なしに実施され得ることが明らかであろう。他の例では、本開示の実施形態を曖昧にしてしまうことを回避するために、良く知られた構造及びデバイスは、詳細にではなくブロック図の形態で示される。
なお、実施の形態の対応する図面では、信号を線で表している。幾つかの線は、より多くの構成信号経路を示すためにより太くされ得る、および/または主要な情報の流れる方向を示すために、1つまたは複数の端部に矢印を有し得る。このような指示は、限定することを意図しない。むしろ、線は、回路又は論理ユニットのより容易な理解を促進するために、1つまたは複数の例示的な実施形態に関連して使用される。設計の必要性又は好みによって規定される任意の表現される信号は、実際には、何れかの方向に進行し得る1つ又は複数の信号を含んでもよく、任意の適切なタイプの信号方式で実装されてよい。
明細書及び特許請求の範囲において、「接続される」という用語は、仲介装置なしで、接続された物の間の電気的、機械的又は磁気的接続などの直接的な接続を意味する。
「結合される」という用語は、1つまたは複数のパッシブまたはアクティブな仲介装置による、接続されたまたは間接的接続である物体間の直接的な電気的、機械的、または磁気的接続などの直接的または間接的接続を意味する。
ここで、「隣接」という用語は、概して、物が他の物の隣にある(例えば、それらの間の1つまたは複数の物をはさんですぐ隣にまたは近接している)または別の物の隣り合っている(例えば、境を接している)位置を指す。
「回路」または「モジュール」という用語は、互いに協働して所望の機能を提供するように構成される1つまたは複数のパッシブおよび/またはアクティブな構成要素を指し得る。
「信号」という用語は、少なくとも1つの電流信号、電圧信号、磁気信号、またはデータ/クロック信号を指し得る。「1つの(“a”、“an”)」および「その(“the”)」の意味は複数の参照を含む。「〜の中に(“in”)」意味は「〜の中に(“in”)」および「〜の上に(“on”)」を含む。
「スケーリング」という用語は、概して、設計(概略図およびレイアウト)をあるプロセス技術から別のプロセス技術に変換し、その後、レイアウト領域を縮小することを意味する。また、「スケーリング」という用語は、概して、同じ技術ノード内でレイアウトおよびデバイスを縮小することを意味する。また、「スケーリング」という用語は、例えば、電源レベルなどの他のパラメータに対する信号周波数の調整(例えば、スローダウンまたはスピードアップ、すなわち、それぞれ縮小または拡大)を意味する。「実質的に」、「近接」、「近傍」および「約」という用語は、概して、目標値の+/−10%以内にあることを意味する。
共通のオブジェクトを記述するための序数の形容詞「第1」、「第2」及び「第3」等の使用は、特に指定しない限り、単に、類似のオブジェクトの異なる例が参照されていることを示し、そのように記述されたオブジェクトが、時間的に、空間的に、ランク付けにおいて、又は他の方法で、所与の順序になければならないことを意味するものではない。
本開示の目的では、「Aおよび/またはB」および「AまたはB」という句は、(A)、(B)または(AおよびB)を意味する。本開示の目的では、「A、Bおよび/またはC」という句は、(A)、(B)、(C)、(AおよびB)、(AおよびC)、(BおよびC)または(A、BおよびC)を意味する。
明細書及び、もしあれば、特許請求の範囲において、「左」、「右」、「前」、「後」、「頂部」、底部」、「上」、「下」等の用語は、説明の目的のために使用され、永続的な相対的位置を記載するためには必ずしも使用されない。
他の図の要素と同一の参照番号(又は名称)を有する図の要素は、記載されたものと同様の方法で作動又は機能することができるが、これらに限定されないことが指摘されている。
実施形態の目的のために、本明細書で説明される様々な回路およびロジックブロック内のトランジスタは、金属酸化物半導体(MOS)トランジスタまたはそれらの派生物(derivatives)であり、MOSトランジスタは、ドレイン、ソース、ゲート、およびバルク端子を含む。トランジスタおよび/またはMOSトランジスタ派生物はまた、トライゲート(Tri‐Gate)およびFinFETトランジスタ、全周ゲート円筒形トランジスタ(Gate All Around Cylindrical Transistors)、トンネルFET(Tunneling FET)(TFET)、角線(Square Wire)、または長方形リボントランジスタ(Rectangular Ribbon Transistors)、強誘電体FET(FeFET)、またはカーボンナノチューブまたはスピントロニックデバイスなどのトランジスタ機能を実施する他のデバイスを含む。MOSFETの対称的なソースおよびドレイン端子は、すなわち、同一の端子であり、ここでは交換可能に使用される。一方、TFETデバイスは、非対称のソース端子およびドレイン端子を有する。当業者は、他のトランジスタ、例えば、バイポーラ接合トランジスタ(BJT PNP/NPN)、BiCMOS、CMOSなどが、本開示の範囲から逸脱することなく使用され得ることを理解するであろう。
図1は、本開示のいくつかの実施形態による、プロセッサに機能安全(FUSA)を提供するためのクロックおよび電圧モニタの高レベルアーキテクチャ100を示す。いくつかの実施形態では、FUSAのためのアーキテクチャ100は、ボックス101にまとめられたバンドギャップ(BG)回路、低ドロップアウト(LDO)レギュレータ、パワーオン検出器(POD)、および電圧モニタ(VM);、オシレータ(例えば、LCタンクオシレータ)102;複数のカウンタおよびコンパレータ1031−n(「n」は1より大きい整数である);トグル検出器104;分周器105;マルチプレクサ106;コントローラ107;マルチプレクサ108;および分割器109を有する。いくつかの実施形態では、トグル検出器104、コントローラ107、およびFUSAを提供するプロセスを制御する他の回路は、FUSAコントローラの一部である。
いくつかの実施形態では、ブロック101のPODは、VCCIN電源レール上の電圧(例えば、1.2Vから2V)を検出し、電圧を最小(Min)しきい値および最大(Max)しきい値と比較する。図11を参照して、PODの1つの可能な実装を示す。図1に戻って参照すると、いくつかの実施形態では、ブロック101のバンドギャップ(BG)回路は、1つまたは複数の電圧モニタおよびLDOのための基準電圧として使用される基準電圧を生成する。いくつかの実施形態では、電圧モニタ(複数可)は、VCCINの電圧を常に追跡し、設定したしきい値からの任意の変化を報告する。セキュリティについては、しきい値のハードウェアデフォルト値がヒューズダウン負荷の前に使用される。いくつかの実施形態では、機能安全のためのトリミングコード(トリム)または較正コードが、有効にする前にBG回路に適用されることができる。いくつかの実施形態では、ブロック101の電圧モニタ(VM)は、供給電圧VCCINを既知の最小(min)および最大(max)しきい値と比較する。VCCIN電圧レベルがmin/maxしきい値を外れている場合、エラー信号が生成される(例えば、Error_0がアサートされる)。電圧レギュレータを持つBG回路の1つの可能なアーキテクチャを、図5を参照して説明する。
図1に戻って参照すると、いくつかの実施形態では、狭い範囲のオシレータ102が、アーキテクチャ100の種々のロジックのための発振クロックOSC_Clkを提供するために使用される。例えば、発振クロックOSC_Clkは、特定の(またはプログラム可能な)時間ウィンドウ内に見られるエッジをカウントするためにカウンタおよび/またはコンパレータ1031−nに提供される。いくつかの実施形態では、狭い範囲のオシレータ102は、例えば+/−400MHz未満の全範囲を共に有するデフォルトの中間粗帯域および微細コードを備えるLC−Tankを有する。LC−Tankの1つの可能なアーキテクチャを、図3を用いて説明する。
図1に戻って参照すると、いくつかの実施形態では、カウンタ1031−nは、入力クロック(例えば、XTALのバージョン、選択されたフィードバッククロックFB_Clk)の所定のサイクル数によって決定される時間ウィンドウの間、入力クロックOSC_Clkをサンプリングするために使用される。カウンタの一次精度は、サンプリングされたクロックの公称周波数とそのフリップフロップのメタ安定性によって設定され、LCタンク周波数OSC_Clkの変動に弱く敏感である。
いくつかの実施態様では、トグル検出器(又はモニタ)104は、外部クロックXTALが切り替わると検出し、XTAL又は他の基準モニタと自律的に係合する。例えば、トグル検出器104は、XTALクロックに対して遷移(例えば、低から高または高から低)が観察されたときを識別するエッジ検出回路を有する。XTALクロックは、例えば、クリスタルによって生成されるオフダイクロックであり得る。
様々な実施形態では、トグル検出器104はダイ上にあり、外部クロックXTALを受信するピンに通信可能に結合されている。いくつかの実施形態では、トグル検出器104がXTALクロック内の遷移を識別すると、イネーブル信号EN_XTALを生成する。イネーブル信号EN_XTALは、XTALの周波数をカウントし、カウントされた周波数を最小(min)および/または最大(max)しきい値周波数数と比較することを開始するカウンタおよびコンパレータをイネーブルにするために使用される。各カウンタおよびコンパレータブロック1031−nからの出力は、カウント値(例えば、ブロック103からのCount_1)および周波数カウント値が最小または最大期待カウント値から外れていることを示すエラーインジケータ(例えば、ブロック103からのError_1)を示す。ここでは、セキュリティのために、固定XTAL/リファレンスがFUSAのために使用される。例えば、複数のXTAL/リファレンス周波数がサポートされている場合、ストラップまたはヒューズを使用して、最小/最大しきい値を事前に定めることができる。
カウンタ1031−nの精度を高めるために、入力クロックは周波数で分割される。いくつかの実施形態では、分周器105は、XTALクロックの周波数を分割するために使用される。次いで、分割された周波数は、マルチプレクサ(Mux.)106に供給され、該マルチプレクサは、カウンタ103のためにXTALクロックまたは(選択された信号Sel1にしたがって)分割されたXTALクロックの1つを提供する。いくつかの実施形態では、コントローラ(図示せず)は、Sel1信号を提供する。このコントローラは、FUSAコントローラとも呼ばれる。Mux106は、XTALの周波数を直接または分割し他形態でカウントする柔軟性を可能にする。
いくつかの実施形態では、カウンタのうちの1つ(例えば、103)は、いくつかのPLLフィードバッククロック(PLL_FB1からPLL_FBn)のうちの1つの周波数をカウントする。PLLフィードバッククロックPLL_FB1〜PLL_FBnは、それぞれの分周比から導出される既知の周波数または固定周波数を有する。XTALクロックの場合のように、マルチプレクサ108および分周器109は、フィードバッククロックの1つを選択し、その周波数を分割してより正確にカウントするためにカウンタ103のためのFB_Clkを生成するために提供される。一般に、分周比が高いほど、クロックモニタの精度は良好である。いくつかの実施形態では、コントローラ107(例えば、FUSAコントローラの一部)が提供され、これは、PLL_FB1からPLL_FBnの間でどのクロックを交替させて、各クロックおよび周波数遷移の間のマスクエラーへの状態遷移の知識とともに逐次的に監視することができる。クロック交替のこの解決法は、PLLのフィードバッククロックがPLL分周器比に関係なく一定の周波数を有することを考慮すると、実際の分周器比を知る必要がなくてもよい。カウンタ103は、FB_Clkがカウント可能な場合、ENnによりイネーブルにされる。様々な実施形態では、このイネーブル信号ENnは、コントローラ107がトグルFB_Clk、またはPLL_FB1からPLL_FBnのクロックの1つ、一部、またはすべてのトグルを検出するときに、コントローラ107によってアサートされる。
図2は、いくつかの実施形態による、高レベルアーキテクチャのタイミング図を示すプロット200を示す。プロット200は、アーキテクチャ100の動作を示す例として、XTAL、OSC_Clk、EN、およびCount_1の4つの波形を示す。トグル検出器104は、XTALクロックの遷移を検出すると、イネーブル信号ENがアサートされる。いったんENがアサートされると、カウンタ103は、その2つの立ち上がりエッジ間のOSC_Clkのエッジの周波数をカウントし始める。カウンタの値は、マルチビットコードであるCount_1で示される。
図3は、いくつかの実施形態による、FUSAのためにクロックの周波数を監視するための狭い範囲の単一周波数オシレータ300(LCタンクオシレータとも呼ばれる)を示す。LCタンクオシレータ300は、直列に且つ一緒にコンデンサバンク301及び302に並列に結合されたインダクタL1及びL2を含む。いくつかの実施形態では、コンデンサバンク301は、バイナリ重み付けされたコンデンサを含む粗い(coarse)バンクである。いくつかの実施形態では、コンデンサバンク302は、温度計で重み付けされるコンデンサを含む微細(fine)バンクである。ここで、粗いバンクとは、各キャパシタをキャパシタンスネットワークに加えると、微細バンクからのキャパシタがキャパシタンスネットワークに加えられる場合よりも、キャパシタンスをはるかに多く増加させるキャパシタのグループをいう。
いくつかの実施形態では、n型トランジスタMN0およびMN1は、交差結合され、また、インダクタL1及びL2、並びにキャパシタバンク301及び302に結合されて、発振を引き起こし、また、発振周波数を制御する。様々な実施形態では、発振振幅は、トランジスタMN0およびMN1のソース上の抵抗R[0]〜R[n]を加減するIVCO[0]〜IVCO[n]によって制御されるスイッチによって調整することができる。このようにして、LCタンクオシレータのバイアス電流が修正される。いくつかの実施形態では、LCタンクオシレータ300の出力VCOoutは、ポストVCO(電圧制御オシレータ)バッファ(PVB)303によってバッファされる。LCタンクオシレータ300のバランスを取るために、レプリカまたはダミーPVB304がインダクタL1に結合され、VCOoutクロックに対して同じ立ち上がりおよび立ち下がり時間を提供する。様々な実施形態では、VCOout(例えば、OSC_Clk)は、外部および/または内部クロックを正確に監視またはサンプリングするために使用される安定したクロックである。
図4は、いくつかの実施形態による、FUSAの電圧を監視するための電圧モニタ(VM)回路400を示す。いくつかの実施形態では、VM回路400は、第1のコンパレータ401及び第2のコンパレータ402を含む。いくつかの実施形態では、第1のコンパレータ401及び第2のコンパレータ402からの出力VmaxCmpOut及びVminCmpOutは、それぞれ、スティッキー出力(sticky outputs)である。例えば、ノードの信号VmaxCmpOutおよびVminCmpOutが変化した場合、それらの信号はラッチされ、それらの論理状態に留まる。いくつかの実施形態では、第1のコンパレータ401及び第2のコンパレータ402は、クロックドコンパレータ(clocked comparators)である。任意の適切なクロックドコンパレータを、第1のコンパレータ401および第2のコンパレータ402を実装するために使用することができる。
様々な実施形態では、コンパレータ401/402への電源VccRefは、図5を参照して説明した低ドロップアウト(LDO)レギュレータ回路によって提供される。図4に戻って参照すると、ここでは、第1のコンパレータ401は、電源VccXの分割されたバージョンVpを、高または最大しきい値Vmax_threshと比較する。第2のコンパレータ402は、電源VccXの分割されたバージョンVpを、低いまたは最小のしきい値Vmin_threshと比較する。従って、第1のコンパレータ401は、高いしきい値を参照してVccXを監視し、第2のコンパレータ402は、低いしきい値を参照してVccXを監視する。いくつかの実施形態では、電源VccXの分割されたバージョンVpは、抵抗装置RCM1およびRCM2を有する抵抗ラダー(resistive ladder)または分圧器によって生成される。いくつかの実施形態では、抵抗装置RCM1およびRCM2は、調整可能な抵抗を有する。
いくつかの実施形態では、最大しきい値Vmax_threshおよび最小しきい値Vmin_threshは、抵抗分割器によって生成される。この例では、最大しきい値Vmax_threshと最小しきい値Vmin_threshを提供するために、RTH1からRTH3までの3つの調整可能な抵抗装置のスタックが使用される。抵抗装置は、任意の適切な方法で実装することができる。例えば、抵抗装置は、ディスクリート抵抗器、直線領域で動作するトランジスタなどを用いて実装することができる。これらの抵抗装置は、調整可能な抵抗を有することができる。いつVccXが予想したしきい値を上回ったか下回ったかを示すことによって、プロセッサは機能的な安全問題を検出し、それを軽減するための措置を講じることができる。
図5は、いくつかの実施形態による、FUSAのための基準発生器アーキテクチャ500を示す。いくつかの実施形態では、第1のコンパレータ401及び第2のコンパレータ402のための基準電圧供給VccVrefは、有限状態機械(FSM)501、BG基準発生器502及び低電圧レギュレータ(VR)503を有するアーキテクチャ500によって提供されることができる。いくつかの実施形態では、BGrefの電圧値に応じて、FSM501は、BG基準発生器502の1つまたは複数のデバイスをトリムすることによって(例えば、デバイスの電流/電圧駆動強度を調整することによって)、BG基準発生器502を較正し、BGrefのレベルを期待されるレベルにする。いくつかの実施形態では、VR503は、VCCINによって電力供給される低ドロップアウト(LDO)レギュレータである。任意の適切なLDOレギュレータ設計が、VR503を実装するために使用されることができる。いくアッセイつかの実施形態では、VR503は、単一利得バッファであり得る。任意の適切な単一利得バッファ設計が、VR503を実装するために使用されることができる。
図6は、いくつかの実施形態による、FUSAを監視するための1つまたは複数の性能監視回路に結合されたクロックアーキテクチャ600を示す。アーキテクチャ600は、位相周波数検出器(PFD)601、チャージポンプ(CP)602、ループフィルタまたはローパスフィルタ(LPF)603、電圧制御オシレータ(VCO)604、ポストVCOバッファ(PVB)605、マルチプレクサ606、分周器607、デューティサイクル調整(DCA)回路608、クロック分配バッファ609、デューティサイクル状態機械610、およびデルタシグマ変調器(DSM)611を含む。いくつかの実施形態では、クロックアーキテクチャ600の様々な回路は、ドメイン613、614、および615によって示される異なる電源で動作する。いくつかの実施形態では、VCOは、LDO612から別個の電力供給を受ける。いくつかの実施形態では、1つまたは複数のCP602、ループフィルタ603、VCO604、PVB605、フィードバック分周器607およびクロック伝搬経路に沿った他のデバイス/回路は、LDO612から別個の電力供給を受ける。クロックアーキテクチャは、XTALまたはその派生物などの基準クロック(RefClk)を受信し、このクロックは、FBClk(例えば、PLL_FB1からPLL_FBnのうちの1つ)を含むPFD601によって受信される。PFD601の出力は、CP602に制御ノード上に電荷を沈めるまたは供給するように指示する上下(Dn)信号である。制御ノード上の電圧は、次に、LPF603によってフィルタリングされ、VCO604の発振周波数を制御するVcntlを生成する。VCOの出力Vclkは、605によってバッファリングされ、DCA回路608に供給される。いくつかの実施形態では、ClkGrid又はPLLClkの1つは、Mux606によって分周器607への入力のためにクロックとして選択される。いくつかの実施形態では、分周器607は、DSM611から整数比又は分数分周比を受信する。様々な実施形態では、クロックアーキテクチャ600の機能安全の性能は、Up、Dn、ClkGridのデューティサイクルなどの信号をチェックすることによって監視される。Up、Dn、ClkGridのデューティサイクルの特性のそれらの期待特性からの変化が、クロックアーキテクチャ600に対する機能安全ハザードへの洞察を提供する。ここで、クロックアーキテクチャ600は、位相ロックループであり、FUSAモニタリングのための例示のアーキテクチャとして示される。しかし、FUSAモニタリングのための技術は、どのクロックアーキテクチャにも適用可能である。
図7は、いくつかの実施形態による、FUSAを提供するための並列プレフィックスツリーアーキテクチャ700に基づくスケーラブルコンパレータを示す。いくつかの実施形態では、第1及び第2のコンパレータ401/402は、アーキテクチャ700を使用して実装される。いくつかの実施形態では、コンパレータアーキテクチャ700は、入力a[i]及びb[i]を比較するためのNANDゲート701及びNORゲート704、それに続く複合ロジック702及びANDゲート705、複合ロジック703及びANDゲート706等を含むステージを有する、マルチビットコンパレータツリーである。ここでは、マルチビット比較ツリーの最初の数ステージが示され、その最初のステージは、バイナリワード「a」の単一ビットが「b」の対応するビットより大きい(G[i])か、または等しい(E[i])かを計算する。残りのステージは、有意性(significance)[i,i−j]のGビットとEビットを[i−j−1,i−k]のビットと対数的に結合し、有意性[i,i−k]を生成する。このファンイン(fanin)−2の描写は例であり、ファンイン−3+のツリーが可能であり、代替の比較符号化も可能である(例えば、等しいかわりにより小さい)。
図8は、いくつかの実施形態による、FUSAのためのデューティサイクルモニタ800を示す。いくつかの実施形態では、デューティサイクルモニタは、DCC(デューティサイクル補正器)610からのデューティサイクルコードを所定のコード801と比較するコンパレータ802(例えば、アーキテクチャ700に基づく)を有する。DCCは、いくつかの実施形態に従って、クロックグリッドのデューティサイクルを感知する。いくつかの実施形態では、DCDを最小化するためにDCCによってDCAコードが更新される。次いで、コンパレータ802の出力は、FUSAコントローラに供給される。FUSAコントローラは、デューティサイクルの健全性に関するレポートまたはインジケータを提供し、どんなときにPLLClkのデューティサイクルがその予想範囲外であるかを示すことができる。例えば、デューティサイクルモニタ800は、p型対n型デバイスの非対称エイジングによるデューティサイクルひずみ(DCD)についての洞察を与えることができる。DCDは、位相経路タイミング違反を引き起こす可能性があり、パルス幅蒸発さえ引き起こす可能性がある。
図9A〜9Bは、いくつかの実施形態による、FUSAのための周波数劣化モニタ900、およびエイジングの影響を示すプロット920をそれぞれ示す。いくつかの実施形態では、周波数劣化モニタ900は、VCO入力制御電圧Vctrlをデジタル表現V1(例えば、10ビットデジタルコードV1[9:0])に変換するアナログ−デジタル変換器(ADC)を含む。いくつかの実施形態では、デジタルコードにおけるVctrlの元の値(Vcfresh)は、メモリ902に記憶される。この元の値は、製品/ダイが製造され最初に使用された時点での、与えられた分周比に対するVctrlの値である。次いで、Vcfreshに対応する元の値V2をV1(Vcagedに対応)と比較して、エイジングがPLL613の性能に、したがってFUSAにどのように影響したかを決定する。同じ目標周波数Ftgtに対する制御電圧Vctrlのシフトを決定することを可能にするために、いくつかの実施形態では、Vcfreshが発生されたときに使用され、コンパレータ902の出力前の目標周波数分周比と比較される分周比が、FUSAコントローラに提供される。例えば、コンパレータ902の出力は、FUSAコントローラが経時的なVcntlの劣化について知らされる前のXNORゲート905の出力とのANDを取られる(AND-ed)。
図10Aは、いくつかの実施形態による、基準クロックをフィードバッククロックと比較し、位相ロックのインジケータを生成するための回路1000を示す。いくつかの実施形態では、回路1000は、XORゲート1001と、それに続く一連の遅延バッファ1002、マルチプレクサ1003および1004、ならびに図示のように一緒に結合されたANDゲート1005および1006を有する。XORゲート1001への入力は、基準クロック(clkref)及びフィードバッククロック(clkfb)である。これらのクロックは、比較され、比較の出力(例えば、XORゲート1001)は、パルスRawUnlockおよびFUSAUnlockに変換される。ここでは、RawUnlockおよびFUSAUnlockは、clkrefおよびclkfbの位相における分離の初期の表示を提供する。いくつかの実施形態では、マルチプレクサ1003は、clkfbおよびclkrefの位相がいつRawUnlock表示を呼び出すのに十分に分離されているかを示すためのしきい値を修正するために使用される。このしきい値は、RawThreshによって変更されることができる。いくつかの実施形態では、マルチプレクサ1004を使用して、clkfbおよびclkrefの位相がいつFUSAUnlock表示を呼び出すのに十分に分離されているかを示すためのしきい値を修正する。このしきい値は、FUSAThreshによって変更されることができる。
図10Bは、いくつかの実施形態による、FUSA目的のための位相誤差劣化を決定するためのロジック1020を示す。クロックソース(例えば、PLL)のデバイスが経年変化すると、新しく製造されたときよりも、デバイスは遅くなり、クロックソースは、より早く位相または周波数ロックを失う可能性がある。いくつかの実施形態では、ロジック1020は、クロックソースの位相または周波数ロックの初期ロック障害を示すことによって、ロック劣化を監視および警報するために提供される。この初期表示(例えば、FUSAロック)は、クロックソースがそれらのシステムのロック解除を宣言しないように、クロックソースに関連付けられるロック検出器のロックウィンドウを修正することができるFUSAコントローラに提供される。FUSAコントローラは、プロセッサ内のロジックがタイミングマージンを緩和することができるように、電力又は周波数管理システムにクロック周波数要件を低減することをさらに要求することができる。
いくつかの実施形態では、ロジック1020は、ダウンカウンタ1021、ロック検出器1022、およびFUSAロック検出器1023を有する。ここで、ロック検出器1022およびFUSAロック検出器1023は、クロックソースの実ロック検出器のレプリカである。ダウンカウンタ1021は、ロックがデアサートされる(de-asserted)(例えば、ロックがない)まで、最大ロックしきい値(イニシャル(Init)ロックしきい値とも呼ばれる)からカウントダウンする。いくつかの実施形態では、ダウンカウンタ1021はまた、OSC_Clk上で動作する(例えば、カウンタクロックCntrClkは、OSC_Clkまたはその分割バージョンと同じである)。ロックがデアサートされた場合、時点0における真のPLL位相誤差が決定される。ここで、ロック検出器1021は、位相周波数検出器(基準クロックRefClkおよびフィードバックFBClkを比較する)から生成されたアップ(Up)およびダウン(Down)パルスを監視する、任意の適切なロック検出器回路である。アップおよびダウンパルスの差が所定時間の間PLL_LockThrshold(ロックしきい値)内である限り、Lock信号がアサートされる。アップおよびダウンパルスのパルス差がPLL_LockThresholdより大きくなると、ロック信号はデアサートされ、クロックソースのロックの喪失を示す。
ダウンカウンタ1021がカウントダウンし、PLL_LockThreshold値を低減すると、ロックを正当化するためのロック検出器1022ウィンドウは、ロック検出器がロックの喪失を宣言するまで、絞られる。ロックがデアサートするとき(例えば、ロック信号がロックの喪失を示すとき)、時間ゼロにおける基準または真のPLL位相誤差が決定される。いくつかの実施形態では、特定のプロセス、電圧、温度条件に対してロックをデアサートされる結果となるPLL_LockThresholdの値は、不揮発性メモリに記録される。基準PLL_LockThresholdが決定されたので、エイジングによる劣化を考慮するために、エイジングガードバンド(GB)が真のPLL_LockThreshold値に加算される。このようにして、FUSA_Lock信号を通してFUSAコントローラに初期ロック故障を提供する修正ロックしきい値FUSA_LockThreshが計算される。次いで、FUSAコントローラは、位相誤差劣化(例えば、ClkrefとClkfbとの間の位相誤差)を緩和するために適切なステップをとることができる。適切なステップの例は、電源電圧レベルを低減すること、位相ロックループの分周比の変更によって動作周波数を低減することなどを含む。
図11は、いくつかの実施形態による、図1のために使用されるパワーオン検出器(POD)1100を示す。いくつかの実施形態では、POD1100は、抵抗R1、R2、R3、R4、およびR5、ダイオード1101および1102、シュミットトリガバッファ1104、ならびに図示のように一緒に結合されたデジタルフィルタ1105を含むバンドギャップ基準回路を有する。ここで、VCCINは、使用上「良好」であるかどうかを確認するために監視されている入力電源である。アサートされたときのインジケータPowerUp(パワーアップ)は、VCCINが他のロジックおよび回路によって使用されるのに十分高いことを示す。例えば、PowerUpは、機能安全またはセキュリティテスト操作を有効または無効にするために使用される。
ダイオード1102は、ダイオード1101よりN倍大きいので、R2を通る電流は、R1を通る電流よりN倍大きい(R5の電流を差し引く)。2つの抵抗R1およびR2に関連する電圧V1およびV2は、コンパレータ1103によって比較される。コンパレータ1103の出力は、VCCIN/2上で動作する信号(例えば、VCCINの半分)に変換され、シュミットトリガ1104に提供される。電源VCCINがしきい値を超えると、シュミットトリガ1104の出力が切り替わり、これは可能な電力が良好であることを示す。シュミットトリガ1104の出力は、正しいPowerUp表示を確実にするために、デジタルフィルタ1105によってフィルタリングされる。次に、PowerUpインジケータは、FUSAコントローラを使用して、他のFUSA回路が種々の特性(例えば、クロック周波数、電圧、デューティサイクル、位相誤差など)をモニタすることを可能にする。
種々の実施形態の種々のクロック及び電圧モニタは、ハードウェアの安全及び安全な製品の基本的な成分である。種々のセキュリティモニタは、ほぼリアルタイムまたはリアルタイムの自律応答を提供する。FUSAは、時計と電圧の変化を時間的に追跡する。
図12は、本開示のいくつかの実施形態による、FUSAを改良するための装置を有するスマートデバイスまたはコンピュータシステムまたはSoC(システムオンチップ)を示す。図12は、平面インターフェースコネクタを使用することができるモバイルデバイスの一実施形態のブロック図を示す。いくつかの実施形態では、コンピューティングデバイス1600は、コンピューティングタブレット、携帯電話またはスマートフォン、ワイヤレス対応eリーダ、または他のワイヤレスモバイルデバイスなどのモバイルコンピューティングデバイスを表す。特定の構成要素が概して示されており、そのようなデバイスのすべての構成要素がコンピューティングデバイス1600に示されているわけではないことが理解されよう。
いくつかの実施形態では、コンピューティングデバイス1600は、議論されるいくつかの実施形態によれば、FUSAを改良する装置を有する第1のプロセッサ1610を含む。いくつかの実施形態によれば、コンピューティングデバイス1600の他のブロックもまた、FUSAを改良する装置を含み得る。本開示の様々な実施形態はまた、システム実施形態が無線デバイス、例えば、携帯電話または携帯情報端末に組み込まれ得るように、無線インターフェースなどの1670内のネットワークインターフェースを有し得る。
いくつかの実施形態では、プロセッサ1610(および/またはプロセッサ1690)は、マイクロプロセッサ、アプリケーションプロセッサ、マイクロコントローラ、プログラマブルロジックデバイス、または他の処理手段などの1つまたは複数の物理デバイスを含むことができる。プロセッサ1610によって実行される処理動作は、アプリケーションおよび/またはデバイス機能が実行されるオペレーティングプラットフォームまたはオペレーティングシステムの実行を含む。処理動作は、人間のユーザまたは他のデバイスとのI/O(入力/出力)に関連する動作、電力管理に関連する動作、および/またはコンピューティングデバイス1600を別のデバイスに接続することに関連する動作を含む。処理動作はまた、オーディオI/Oおよび/またはディスプレイI/Oに関連する動作を含み得る。
いくつかの実施形態では、コンピューティングデバイス1600は、オーディオ機能をコンピューティングデバイスに提供することに関連するハードウェア(例えば、オーディオハードウェアおよびオーディオ回路)およびソフトウェア(例えば、ドライバ、コーデック)コンポーネントを表すオーディオサブシステム1620を含む。オーディオ機能は、スピーカおよび/またはヘッドホン出力、ならびにマイクロホン入力を含むことができる。このような機能のための装置は、コンピューティングデバイス1600に統合されることができるまたはコンピューティングデバイス1600に接続されることができる。一実施形態では、ユーザは、プロセッサ1610によって受信され、処理されるオーディオコマンドを提供することによって、コンピューティングデバイス1600と対話する。
いくつかの実施形態では、コンピューティングデバイス1600は、ディスプレイサブシステム1630を有する。ディスプレイサブシステム1630は、ユーザがコンピューティングデバイス1600と対話するための視覚および/または触覚ディスプレイを提供するハードウェア(例えば、ディスプレイデバイス)およびソフトウェア(例えば、ドライバ)コンポーネントを表す。ディスプレイサブシステム1630は、ユーザに表示を提供するために使用される特定の画面またはハードウェアデバイスを含むディスプレイインターフェース1632を含む。一実施形態では、ディスプレイインターフェース1632は、ディスプレイに関連する少なくともいくつかの処理を実行するために、プロセッサ1610とは別個のロジックを含む。一実施形態では、ディスプレイサブシステム1630は、ユーザに出力および入力の両方を提供するタッチスクリーン(またはタッチパッド)デバイスを含む。
いくつかの実施形態では、コンピューティングデバイス1600は、I/Oコントローラ1640を備える。I/Oコントローラ1640は、ユーザとの対話に関連するハードウェアデバイスおよびソフトウェアコンポーネントを表す。I/Oコントローラ1640は、オーディオサブシステム1620および/またはディスプレイサブシステム1630の一部であるハードウェアを管理するように動作可能である。加えて、I/Oコントローラ1640は、それを通してユーザがシステムと対話することができるコンピューティングデバイス1600に接続する追加のデバイスのための接続ポイントを示す。例えば、コンピューティングデバイス1600に取り付けることができるデバイスは、マイクロホンデバイス、スピーカまたはステレオシステム、ビデオシステムまたは他のディスプレイデバイス、キーボードまたはキーパッドデバイス、あるいはカードリーダまたは他のデバイスなどの特定のアプリケーションと共に使用するための他のI/Oデバイスを含むことができる。
上述のように、I/Oコントローラ1640は、オーディオサブシステム1620および/またはディスプレイサブシステム1630と対話することができる。例えば、マイクロホンまたは他のオーディオデバイスを介した入力は、コンピューティングデバイス1600の1つもしくは複数のアプリケーションまたは機能のための入力またはコマンドを提供することができる。さらに、ディスプレイ出力の代わりに、またはそれに加えて、オーディオ出力を提供することができる。別の例では、ディスプレイサブシステム1630がタッチスクリーンを含む場合、ディスプレイデバイスは、I/Oコントローラ1640によって少なくとも部分的に管理することができる入力デバイスとしても働く。I/Oコントローラ1640によって管理されるI/O機能を提供するために、コンピューティングデバイス1600上の追加のボタンまたはスイッチがあることもできる。
いくつかの実施形態では、I/Oコントローラ1640は、加速度計、カメラ、光センサ、または他の環境センサ、あるいはコンピューティングデバイス1600に含めることができる他のハードウェアなどのデバイスを管理する。入力は、直接的なユーザ対話の一部とすることができ、システムに環境入力を提供して、システムの動作に影響を及ぼすことができる(例えば、ノイズのフィルタリング、輝度検出のためのディスプレイの調整、カメラのためのフラッシュの適用、または他の特徴)。
いくつかの実施形態では、コンピューティングデバイス1600は、バッテリ電力使用量、バッテリの充電、および省電力動作に関連する機能を管理する電力管理1650を含む。メモリサブシステム1660は、コンピューティングデバイス1600に情報を記憶するためのメモリデバイスを含む。メモリは、不揮発性(メモリデバイスへの電力が中断された場合に状態が変化しない)および/または揮発性(メモリデバイスへの電力が中断された場合に状態が不確定である)メモリデバイスを含むことができる。メモリサブシステム1660は、アプリケーションデータ、ユーザデータ、音楽、写真、ドキュメント、または他のデータ、ならびにコンピューティングデバイス1600のアプリケーションおよび機能の実行に関連するシステムデータ(長期または一時)を格納することができる。
実施形態の要素はまた、コンピュータ実行可能命令(例えば、本明細書で説明される任意の他のプロセスを実装するための命令)を格納するための機械可読媒体(例えば、メモリ1660)として提供される。機械可読媒体(例えば、メモリ1660)は、フラッシュメモリ、光ディスク、CD‐ROM、DVD ROM、RAM、EPROM、EEPROM、磁気または光カード、相変化メモリ(PCM)、または電子命令またはコンピュータ実行可能命令を記憶するのに適した他のタイプの機械可読媒体を含むことができるが、これらに限定されない。例えば、本開示の実施形態は、通信リンク(例えば、モデムまたはネットワーク接続)を介してデータ信号によってリモートコンピュータ(例えば、サーバ)から要求元コンピュータ(例えば、クライアント)に転送され得るコンピュータプログラム(例えば、BIOS)としてダウンロードされ得る。
いくつかの実施形態では、コンピューティングデバイス1600は、接続性1670を有する。接続性1670は、コンピューティングデバイス1600が外部デバイスと通信することを可能にするハードウェアデバイス(例えば、無線および/または有線コネクタおよび通信ハードウェア)ならびにソフトウェアコンポーネント(例えば、ドライバ、プロトコルスタック)を含む。コンピューティングデバイス1600は、他のコンピューティングデバイス、無線アクセスポイントまたは基地局などの別個のデバイス、ならびにヘッドセット、プリンタ、または他のデバイスなどの周辺機器であることができる。
接続性1670は、複数の異なるタイプの接続性を含むことができる。一般化するために、コンピューティングデバイス1600は、セルラー接続性1672および無線接続性1674とともに示されている。セルラー接続性1672は、概して、GSM(移動体通信のためのグローバルシステム)または変形もしくは派生物、CDMA(符号分割多重アクセス)または変形もしくは派生物、TDM(時分割多重化)または変形もしくは派生物、または他のセルラーサービス規格によって提供されるなど、無線キャリアによって提供されるセルラーネットワーク接続性を指す。無線接続性(または無線インターフェース)1674は、セルラーではなく、パーソナルエリアネットワーク(Bluetooth、Near Fieldなど)、ローカルエリア・ネットワーク(Wi−Fiなど)、および/またはワイドエリアネットワーク(WiMaxなど)、またはその他の無線通信を含むことができる無線接続性を指す。
いくつかの実施形態では、コンピューティングデバイス1600は、周辺接続(peripheral connections)1680を備える。周辺接続1680は、ハードウェアインターフェースおよびコネクタ、ならびに周辺接続を行うためのソフトウェアコンポーネント(例えば、ドライバ、プロトコルスタック)を含む。コンピューティングデバイス1600は、他のコンピューティングデバイスへの周辺装置(「to」1682)であるる、ならびに、それに接続される周辺装置(「from」1684)を有する事の両方であることができることが理解されよう。コンピューティングデバイス1600は、一般に、コンピューティングデバイス1600上のコンテンツを管理(例えば、ダウンロードおよび/またはアップロード、変更、同期)することなどの目的のために、他のコンピューティングデバイスに接続するための「ドッキング」コネクタを有する。さらに、ドッキングコネクタは、コンピューティングデバイス1600が、例えば、オーディオビジュアルまたは他のシステムへのコンテンツ出力を制御することを可能にする特定の周辺機器にコンピューティングデバイス1600が接続することを可能にすることができる。
独自のドッキングコネクタまたは他の独自の接続ハードウェアに加えて、コンピューティングデバイス1600は、共通または標準ベースのコネクタを介して周辺接続1680を行うことができる。共通のタイプは、ユニバーサルシリアルバス(USB)コネクタ(これは、いくつかの異なるハードウェアインターフェースのいずれかを含むことができる)、ミニディスプレイポート(MDP)を含むディスプレイポート、高解像度マルチメディアインターフェイス(HDMI)、Firewire、または他のタイプを含むことができる。
明細書において、「実施形態」、「一実施形態」、「ある実施形態」または「他の実施形態」への言及は、実施形態に関連して記載された特定の特徴、構造または特性が少なくともいくつかの実施形態に含まれているが、必ずしもすべての実施形態に含まれているわけではないことを意味する。「実施形態」、「一実施形態」または「ある実施形態」の様々な外観は、必ずしも同一の実施形態に言及しているわけではない。明細書が、構成要素、特徴、構造または特性が、含み「得る」、含む「かもしれない」または「含ん「でもよい」と記載している場合、その特定の構成要素、特徴、構造または特性は含まれる必要はない。明細書または請求項が「1つの(「a」または「an」)」要素に言及している場合、それは要素のうちの1つのみがあることを意味しているのではない。明細書または請求項が「追加の」要素に言及している場合、それは、追加の要素のうちの1つより多くがあることを妨げない。
さらに、特定の特徴、構造、機能、または特性は、1つまたは複数の実施形態において任意の適切な方法で組み合わされることができる。例えば、第1の実施形態は、2つの実施形態に関連する特定の特徴、構造、機能、または特性が相互に排他的でない場合には、第2の実施形態と組み合わせることができる。
本開示は、その特定の実施形態に関連して説明されてきたが、そのような実施形態の多くの代替形態、修正形態、および変形形態は、前述の説明に照らして当業者には明らかであろう。本開示の実施形態は、添付の特許請求の範囲の広い範囲内に入るように、すべてのそのような代替形態、修正形態、および変形形態を包含することが意図される。
さらに、集積回路(IC)チップおよび他のコンポーネントへのよく知られた電力/接地接続は、例示および議論の簡潔さのために、また開示を不明瞭にしないために、提示された図面内に示されても示されなくてもよい。さらに、構成は、開示を曖昧にすることを避けるために、また、そのようなブロック図構成の実装に関する詳細が、本開示が実装されるプラットフォームに大きく依存するという事実を考慮して、ブロック図形式で示され得る(すなわち、そのような詳細は、十分に当業者の範囲内であるべきである)。本開示の例示的な実施形態を説明するために特定の詳細(例えば、回路)が記載される場合、本開示は、これらの特定の詳細を伴わずに、またはそれらの変形を伴って実施されることができることが、当業者には明らかであるはずである。本願の記載は、したがって、限定するものでなく例証するものとしてみなされることとする。
読者が技術開示の性質および要旨を確認することを可能にする要約が提供される。要約は、特許請求の範囲または意味を限定するために使用されないという理解の下に提出される。以下の特許請求の範囲は、詳細な説明に組み込まれ、各特許請求の範囲は、それ自体が別個の実施形態として存在する。

Claims (20)

  1. ダイの外部の第1のクロックを受信するためにピンに通信可能に結合される第1の入力、および分周器の出力に結合される第2の入力を有する、マルチプレクサと;
    第2のクロックを提供するオシレータと;
    前記マルチプレクサの出力および前記オシレータに結合されるカウンタであって、前記カウンタは、前記第2のクロックで動作するとともに、前記第1のクロックの周波数を決定する、カウントと;
    を有する、
    装置。
  2. 前記カウンタの出力を基準と比較するコンパレータを有する、
    請求項1に記載の装置。
  3. 前記オシレータは、インダクタ−キャパシタタンクを有する、
    請求項1に記載の装置。
  4. 電源レールに結合されるパワーアップ検出器を有し、前記パワーアップ検出器は、前記電源レール上の電源がしきい値を越えることを検出し、前記パワーアップ検出器の出力は、機能安全又はセキュリティテストを有効または無効にする、
    請求項1乃至3のいずれか1項に記載の装置。
  5. 前記インダクタ−キャパシタタンクのための基準電圧を発生するバンドギャップ基準回路を有する、
    請求項3に記載の装置。
  6. 基準電圧を受け、調整された電力供給を発生させる低ドロップアウトレギュレータを有する、
    請求項5に記載の装置。
  7. 前記第1のクロックの立ち上がりまたは立ち下りエッジを監視し、次に前記カウンタがカウントを開始することを可能にする回路を有する、
    請求項1乃至3のいずれか1項に記載の装置。
  8. 前記カウンタは第1のカウンタであり、前記マルチプレクサは第1のマルチプレクサであり、前記装置は:
    前記第2のクロックで動作する第2のカウンタと;
    複数のクロックソースからの複数のクロックを受信する第2のマルチプレクサであって、前記マルチプレクサは、前記複数のクロックのうちのクロックの1つである出力を提供し、前記マルチプレクサの前記出力は、前記第2のカウンタに直接的または間接的に提供される、マルチプレクサと;
    を有する、
    請求項1乃至3のいずれか1項に記載の装置。
  9. 複数の個々の位相ロックループの出力のデューティサイクルを監視するデューティサイクルモニタを有する、
    請求項8に記載の装置。
  10. 前記個々の位相ロックループの前記出力の周波数をモニタする周波数劣化モニタを有する、
    請求項9に記載の装置。
  11. 基準位相誤差に対する前記個々の位相ロックループの位相誤差を検出する位相誤差検出器を有する、
    請求項9に記載の装置。
  12. 電源の電圧レベルを監視する電圧モニタを有する、
    請求項9に記載の装置。
  13. ダイの外部の第1のクロックを受信するためにピンに通信可能に結合される分周器と;
    前記ピンに通信可能に結合される第1の入力、および前記分周器の出力に結合される第2の入力を有する、マルチプレクサと;
    第2のクロックを提供するインダクタ−キャパシタタンクと;
    前記マルチプレクサの出力および前記インダクタ−キャパシタタンクに結合されるカウンタであって、前記カウンタは、前記第2のクロックで動作するとともに、前記第1のクロックの周波数を決定する、カウントと;
    を有する、
    装置。
  14. 前記第1のクロックの立ち上がりまたは立ち下りエッジを監視し、次に前記カウンタがカウントを開始することを可能にする回路を有する、
    請求項13に記載の装置。
  15. 前記カウンタの出力を第1の基準と比較する第1のコンパレータと;
    前記カウンタの前記出力を第2の基準と比較する第2のコンパレータと;
    を有する、
    請求項13に記載の装置。
  16. メモリと;
    第1のクロックを発生するクロック発生器と;
    前記メモリおよび前記クロック発生器に結合されるプロセッサであって、請求項1乃至12のいずれか1項に記載の装置を含む、プロセッサと;
    前記プロセッサが、他のデバイスと通信することを可能にするアンテナと;
    を有する、
    システム。
  17. メモリと;
    第1のクロックを発生するクロック発生器と;
    前記メモリおよび前記クロック発生器に結合されるプロセッサであって、請求項13乃至15のいずれか1項に記載の装置を含む、プロセッサと;
    前記プロセッサが、他のデバイスと通信することを可能にするアンテナと;
    を有する、
    システム。
  18. ダイの外部の第1のクロックをピンで受信するステップであって、分周器が前記ピンに通信可能に結合されるステップと;
    マルチプレクサの第1の入力で前記第1のクロックを受信するステップと;
    前記マルチプレクサの第2の入力で前記分周器の出力を受信するステップと;
    第2のクロックを提供するステップと;
    前記第2のクロックを使用して前記第1のクロックの周波数を決定するステップと;
    を含む、
    方法。
  19. 前記第1のクロックの立ち上がりまたは立ち下りエッジを監視するステップと;
    前記第1のクロックの前記周波数を決定するようにカウンタがカウントを開始することを可能にするステップと;
    を含む、
    請求項18に記載の方法。
  20. 前記カウンタの出力を第1の基準と比較するステップと;
    前記カウンタの前記出力を第2の基準と比較するステップと;
    を含む、
    請求項19に記載の方法。
JP2020549773A 2018-06-27 2019-05-28 クロック及び電圧の自律セキュリティ及び機能安全のための装置 Pending JP2021528875A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/020,918 2018-06-27
US16/020,918 US10824764B2 (en) 2018-06-27 2018-06-27 Apparatus for autonomous security and functional safety of clock and voltages
PCT/US2019/034213 WO2020005439A1 (en) 2018-06-27 2019-05-28 Apparatus for autonomous security and functional safety clock and voltages

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024041880A Division JP2024073587A (ja) 2018-06-27 2024-03-18 クロック及び電圧の自律セキュリティ及び機能安全のための装置

Publications (1)

Publication Number Publication Date
JP2021528875A true JP2021528875A (ja) 2021-10-21

Family

ID=68985141

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020549773A Pending JP2021528875A (ja) 2018-06-27 2019-05-28 クロック及び電圧の自律セキュリティ及び機能安全のための装置

Country Status (6)

Country Link
US (2) US10824764B2 (ja)
JP (1) JP2021528875A (ja)
KR (1) KR20210014095A (ja)
CN (1) CN111902818A (ja)
DE (1) DE112019002217T5 (ja)
WO (1) WO2020005439A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102536240B1 (ko) * 2019-02-26 2023-05-24 삼성전자주식회사 수신 회로 및 이를 포함하는 근거리 무선 통신 카드
US11144081B2 (en) * 2019-10-14 2021-10-12 Himax Technologies Limited Bandgap voltage generating apparatus and operation method thereof
US11880454B2 (en) * 2020-05-14 2024-01-23 Qualcomm Incorporated On-die voltage-frequency security monitor
US11070214B1 (en) * 2020-10-14 2021-07-20 Mellanox Technologies Denmark Aps Test circuit for a digital phase-locked loop
US11095293B1 (en) * 2020-12-31 2021-08-17 Texas Instruments Incorporated Low-power fractional analog PLL without feedback divider
US11947672B2 (en) * 2021-03-02 2024-04-02 Nxp B.V. Voltage glitch detection circuit

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61278766A (ja) * 1985-06-04 1986-12-09 Yokogawa Hewlett Packard Ltd カウンタ装置
JPH05241680A (ja) * 1992-03-03 1993-09-21 Fujitsu Ltd クロック同期方式
JPH06149417A (ja) * 1992-11-12 1994-05-27 Fuji Electric Co Ltd Cpuリセット装置
JP2009069947A (ja) * 2007-09-11 2009-04-02 Renesas Technology Corp 半導体装置
WO2015068235A1 (ja) * 2013-11-07 2015-05-14 株式会社日立製作所 共振器、位相同期回路及び半導体集積回路装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930294A (en) 1997-08-07 1999-07-27 Cisco Technology, Inc. Frequency measurement circuit
JP3930773B2 (ja) * 2002-07-19 2007-06-13 沖電気工業株式会社 周波数補正回路
US8391105B2 (en) 2010-05-13 2013-03-05 Maxim Integrated Products, Inc. Synchronization of a generated clock
US9354690B1 (en) 2011-03-31 2016-05-31 Adtran, Inc. Systems and methods for adjusting core voltage to optimize power savings
KR101412711B1 (ko) * 2013-08-02 2014-08-06 (주)전전사 구내방송장치용 이상부하분석 처리방법 및 장치
US9442184B2 (en) 2014-02-21 2016-09-13 Nxp B.V. Functional safety monitor pin
KR20170009291A (ko) 2015-07-16 2017-01-25 에스케이하이닉스 주식회사 클록 생성 장치 및 이를 포함하는 반도체 장치
US10419005B2 (en) * 2016-12-14 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Phase-locked-loop architecture
US10044356B2 (en) * 2017-01-04 2018-08-07 Himax Technologies Limited Band selected clock data recovery circuit and associated method
US10270348B2 (en) * 2017-02-23 2019-04-23 Avago Technologies International Sales Pte. Limited Synchronous switching regulator circuit
US10746797B1 (en) * 2019-04-22 2020-08-18 Texas Instruments Incorporated Dynamically protective scan data control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61278766A (ja) * 1985-06-04 1986-12-09 Yokogawa Hewlett Packard Ltd カウンタ装置
JPH05241680A (ja) * 1992-03-03 1993-09-21 Fujitsu Ltd クロック同期方式
JPH06149417A (ja) * 1992-11-12 1994-05-27 Fuji Electric Co Ltd Cpuリセット装置
JP2009069947A (ja) * 2007-09-11 2009-04-02 Renesas Technology Corp 半導体装置
WO2015068235A1 (ja) * 2013-11-07 2015-05-14 株式会社日立製作所 共振器、位相同期回路及び半導体集積回路装置

Also Published As

Publication number Publication date
WO2020005439A8 (en) 2020-07-09
US20210049307A1 (en) 2021-02-18
US11461504B2 (en) 2022-10-04
DE112019002217T5 (de) 2021-02-18
WO2020005439A1 (en) 2020-01-02
US10824764B2 (en) 2020-11-03
US20200004990A1 (en) 2020-01-02
CN111902818A (zh) 2020-11-06
KR20210014095A (ko) 2021-02-08

Similar Documents

Publication Publication Date Title
JP2021528875A (ja) クロック及び電圧の自律セキュリティ及び機能安全のための装置
US10574243B2 (en) Apparatus and method for generating stable reference current
US20240085973A1 (en) Frequency overshoot and voltage droop mitigation apparatus and method
US10020931B2 (en) Apparatus for dynamically adapting a clock generator with respect to changes in power supply
US10374616B2 (en) Phase frequency detector
US10707878B2 (en) Apparatus and system for digitally controlled oscillator
US11387815B2 (en) Apparatus and method for improving lock time
US20150188553A1 (en) Apparatus for symmetric and linear time-to-digital converter (tdc)
KR20170030616A (ko) 디지털 위상 동기 루프 공급 전압 제어
US11211934B2 (en) Apparatus to improve lock time of a frequency locked loop
US11188117B2 (en) Low latency analog adaptive clocking
JP2024073587A (ja) クロック及び電圧の自律セキュリティ及び機能安全のための装置
US9768788B2 (en) Phase-locked loop with lower power charge pump

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220525

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231002

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20231121