JP2021527956A - シロキサン組成物、及び前記組成物を使用してケイ素含有膜を堆積させるための方法 - Google Patents

シロキサン組成物、及び前記組成物を使用してケイ素含有膜を堆積させるための方法 Download PDF

Info

Publication number
JP2021527956A
JP2021527956A JP2020569819A JP2020569819A JP2021527956A JP 2021527956 A JP2021527956 A JP 2021527956A JP 2020569819 A JP2020569819 A JP 2020569819A JP 2020569819 A JP2020569819 A JP 2020569819A JP 2021527956 A JP2021527956 A JP 2021527956A
Authority
JP
Japan
Prior art keywords
composition
substrate
silicon
film
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020569819A
Other languages
English (en)
Other versions
JP7230067B2 (ja
Inventor
リー チエンホン
レイ シンチエン
エヌ.ブルティス レイモンド
ジー.リッジウェイ ロバート
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2021527956A publication Critical patent/JP2021527956A/ja
Application granted granted Critical
Publication of JP7230067B2 publication Critical patent/JP7230067B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0834Compounds having one or more O-Si linkage
    • C07F7/0838Compounds with one or more Si-O-Si sequences
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

シロキサンを含有する組成物及び方法が開示される。開示される方法は、基材上に誘電性膜を堆積させる方法に関するものであり、(a)反応チャンバー中に基材を配置する工程;(b)環状ケイ素含有化合物及び酸化剤を含むプロセス気体を導入する工程;並びに(c)環状ケイ素含有化合物と酸化剤とが反応して基材表面に流動性膜を形成する条件下で基材をプロセス気体にさらす工程を含む。方法は、流動性膜を固体の誘電材料(例えば酸化ケイ素膜)に変換する工程をさらに含むことができる。特定の実施態様において、膜の変換は、熱、プラズマアニールによる堆積された膜のアニールによって、及びUV硬化によって達成することができる。

Description

当分野において、気相重合を用いる流動性化学気相堆積プロセスを使用して酸化ケイ素膜を堆積することは公知である。例えば、従来技術は化合物、例えばトリシリルアミン(TSA)を使用して、続いてオゾン暴露を使用してSiOXに酸化される、Si、H、Nを含有するオリゴマーを堆積させることに焦点を当てていた。これらの例は、米国出願公開第2014/0073144号明細書;米国出願公開第2013/230987号明細書;米国特許第7521378号、7557420号及び8575040号明細書;並びに米国特許第7825040号明細書において開示されている。通例、これらのプロセスは高温水蒸気処理及び1000℃より高い温度での熱アニールを必要とする。
米国特許第7825038号明細書は、堆積チャンバーに基材を提供する工程、堆積チャンバーの外部で原子状酸素前駆体を生成する工程、及び原子状酸素前駆体をチャンバー中に導入する工程を含む、酸化ケイ素層を基材に堆積させる方法を開示した。堆積は、ケイ素前駆体を堆積チャンバーに導入する工程をさらに含む場合があり、ケイ素前駆体と原子状酸素前駆体とは、まずチャンバー中で混合される。前駆体、例えばオクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)及びテトラメチルシクロテトラシロキサン(TOMCATS)が、この用途のために適用された。
米国特許第7998536号、7989033号、及び2009年のYim,K.S.の「Novel silicon precursors to make ultra low−k films with high mechanical properties by plasma enhanced chemical vapor deposition」は、low−kのSi−C含有膜を形成するための前駆体及びプロセスを開示している。
米国特許第9362107号明細書は、パターン付けされた基材上に流動性low−k誘電性膜を形成する方法を開示した。膜は、ケイ素−炭素−酸素(Si−C−O)層である場合があり、その中で、ケイ素及び炭素の成分はケイ素及び炭素を含有する前駆体に由来し、一方で酸素はリモートプラズマ領域で活性化された酸素含有前駆体に由来する場合がある。堆積の少し後、ケイ素−炭素−酸素層は、水素及び窒素を含有する前駆体、例えばアンモニアに、硬化前に暴露されることによって処理される。処理は、ケイ素−炭素−酸素層から残留水分を除去することができ、硬化及び続く処理の間に格子をより回復可能なものにすることができる。処理は、続く処理の間の、ケイ素−炭素−酸素層の収縮を減少させることができる。前駆体、例えばオクタメチルシクロテトラシロキサン(OMCTS)及びテトラメチルシクロテトラシロキサン(TOMCATS)が、この用途のために主張されている。
公知の前駆体及び堆積プロセスは、水分を吸収して誘電率の増加をもたらす親水性膜を堆積することを可能とする。
前もって特定された特許及び特許出願の開示は、参照によって本明細書に組み込まれる。
本発明は、環状シロキサン組成物、及びケイ素含有膜を堆積させるための方法を提供することによって、1つの実施態様においては半導体の種々の特徴の間のギャップを充填する膜を提供することによって、公知の前駆体及びプロセスに関連する問題を解決する。
より詳細には、本発明は、基材上にケイ素含有膜を形成するための流動性化学気相堆積方法を含む。方法は、反応チャンバー中に基材を配置する工程、並びに式I:
Figure 2021527956
によって表され、式中、R1~4が水素、直鎖又は分岐鎖のC1〜C10アルキル基、直鎖又は分岐鎖のC3〜C10アルケニル基、直鎖又は分岐鎖のC3〜C10アルキニル基、ジ−C1〜C6−アルキルアミノ基及びC6〜C10アリール基から独立に選択され、n=1、2、3、4である少なくとも1つのシロキサン化合物と、少なくとも1つの活性種とをチャンバー中に導入する工程を含む。ケイ素含有化合物と活性種とが反応して基材上に流動性膜として凝縮するように反応器条件が制御される。少なくとも1つの活性種は反応チャンバーに対してリモートで活性化される。
幾つかの例における流動性膜は、Si−C及びSi−O結合のうち少なくとも1つを有する。流動性膜は、基材表面特徴において高いアスペクト比のギャップを充填する。次いで、流動性膜は、例えばプラズマ、紫外線(UV)及び/又は熱アニールによって、最終酸化ケイ素膜に変換される。本発明の方法は、3:1〜10:1以上の範囲のアスペクト比を含む高いアスペクト比のギャップを充填するのに使用することができる。
活性種はリモートプラズマ源、リモートマイクロ波源又はリモートホットワイヤーシステムを使用して生成することができる。
1つの実施態様によれば、少なくとも1つの活性種は、水蒸気、オゾン、酸素、酸素/ヘリウム、酸素/アルゴン、酸化窒素、二酸化炭素、過酸化水素、有機過酸化物及びそれらの混合物からなる群から選択される種へのプラズマ源又はリモートマイクロ波源の作用によって生成する酸化剤である。
別の実施態様によれば、少なくとも1つの活性種は、窒素、窒素及びヘリウムの混合物、窒素及びアルゴンの混合物、アンモニア、アンモニア及びヘリウムの混合物、アンモニア及びアルゴンの混合物、ヘリウム、アルゴン、水素、水素及びヘリウムの混合物、水素及びアルゴンの混合物、アンモニア及び水素の混合物、有機アミン並びにそれらの混合物から選択される種へのプラズマ源又はリモートマイクロ波源の作用によって生成される。
別の実施態様によれば、少なくとも1つの環状シロキサン化合物は、2,2,5,5−テトラメチル−1−オキサ−2,5−ジシラシクロペンタンと2,2,6,6−テトラメチル−1−オキサ−2,6−ジシラシクロヘキサンとのうち一方又は両方を含む。
上の工程が行われた後、流動性膜は、プラズマ、UV照射及び熱アニールからなる群から選択される処理で処理することができる。前記処理で流動性膜を処理することは、流動性膜を誘電材料に変換する。
先に記載されるように、本発明の幾つかの実施態様は、基材上のギャップを誘電体で充填する目的のための、誘電性膜を形成する上で記載された方法を使用することに関する。このような実施態様において、流動性膜を誘電材料に変換する前に、ケイ素含有化合物と酸化剤とが反応してギャップ中に流動性膜を形成する。
さらなる実施態様において、ケイ素含有膜は、プラズマ支援反応によってギャップ中に堆積される。このような実施態様において、酸化剤は、プラズマ支援反応及びギャップ中へのケイ素含有膜の堆積の後に反応チャンバー中に導入され、ケイ素含有膜は、Si−O及びSi−C結合のうち少なくとも1つを含む流動性膜がギャップ中に形成されるように、酸化剤にさらされる。次いで、堆積された膜は誘電材料に変換される。
本発明の別の態様は、本発明の方法によって得られる膜に関する。
別の実施態様は、基材への膜の流動性化学気相堆積のための組成物に向けたものであり、組成物は2,2,5,5−テトラメチル−1−オキサ−2,5−ジシラシクロペンタンを含み、10ppmより少ないハロゲン化物イオン不純物を有し、ハロゲン化物イオンは塩化物、フッ化物、臭化物及びヨウ化物からなる群から選択される。
別の実施態様は、基材への膜の流動性化学気相堆積のための組成物に向けたものであり、組成物は2,2,5,5−テトラメチル−1−オキサ−2,5−ジシラシクロペンタンを含み、10ppmより少ない金属イオン不純物を有し、金属イオンはAl3+、Fe2+、Fe3+、Ni2+及びCr3+からなる群から選択される。
別の実施態様は、基材への膜の流動性化学気相堆積のための組成物に向けたものであり、組成物は2,2,6,6−テトラメチル−1−オキサ−2,6−ジシラシクロヘキサンを含み、10ppmより少ないハロゲン化物イオン不純物を有し、ハロゲン化物イオンは塩化物、フッ化物、臭化物及びヨウ化物からなる群から選択される。
別の実施態様は、基材への膜の流動性化学気相堆積のための組成物に向けたものであり、組成物は2,2,6,6−テトラメチル−1−オキサ−2,6−ジシラシクロヘキサンを含み、10ppmより少ない金属イオン不純物を有し、金属イオンはAl3+、Fe2+、Fe3+、Ni2+及びCr3+からなる群から選択される。
本発明の種々の態様は、単独で又は互いに組み合わせて使用することができる。
実施例1に従って堆積された膜を熱アニールした後の、有機ケイ酸塩ガラス膜を有するパターン付けされたウエハのSEM写真である。 UV硬化工程の後の、図1に描かれるパターン付けされたウエハのSEM写真である。 熱アニール又はUV硬化の前の、実施例1に従って堆積された膜のフーリエ変換赤外分光法(FTIR)のグラフである。 熱アニールの後であるがUV硬化の前の、実施例1に従って堆積された膜のFTIRグラフである。 熱アニールの後であり、さらにUV硬化の後の、実施例1に従って堆積された膜のFTIRグラフである。
詳細な説明を確実にすることは、好ましい例示的な実施態様のみを提供し、本発明の範囲、適応性又は構成を限定することを意味するものではない。むしろ、好ましい例示的な実施態様の詳細な説明を確実にすることは、本発明の好ましい例示的な実施態様を実施することを可能とする説明を、当分野における当業者に提供する。添付の特許請求の範囲に記載されるとき、本発明の趣旨及び範囲から離れることなく、機能、及び要素の配置において種々の変更をすることが可能である。
特許請求の範囲において、請求される方法の工程を特定するのに符号(例えばa、b及びc)を使用する場合がある。これらの符号は、方法の工程を参照することにおいて使用され、特許請求の範囲において順番が明確に説明されない限り、及びそのように説明される範囲においてのみ、請求される工程が行われる順番を表すことを意図するものではない。
流動性誘電コーティングは、当分野において公知であるプロセス、例えば米国特許第7888233号明細書、米国特許第7582555号明細書及び米国特許第7915139号明細書において説明されるそれらのプロセスに類似したプロセスを使用することによって達成することができ;前述の文献の全体は参照によって本明細書に組み込まれる。コーティングされる基材は堆積チャンバー中に配置される。基材の温度は、チャンバーの壁より低く制御することができる。基材温度は、150℃より低い温度に、好ましくは80℃より低い温度に、最も好ましくは60℃より低く−30℃より高い温度に保たれる。本発明の好ましい例示的な基材温度は、−30℃〜0℃、0℃〜20℃、10℃〜30℃、20℃〜40℃、30℃〜60℃、40℃〜80℃、70℃〜150℃の範囲である。基材は、任意選択で、基材の上に、100μm幅より小さい、好ましくは1μm幅より小さい、最も好ましくは0.5μm幅より小さいサイズの小さい特徴を有する。特徴のアスペクト比(深さ:幅の比)は、もし存在するならば、0.1:1より大きく、好ましくは1:1より大きく、最も好ましくは2:1より大きい。
基材は、単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウム(サファイア)のウエハ、ガラスのシート、金属のホイル、有機ポリマーの膜であるか、又はポリマーの、ガラスの、ケイ素の若しくは金属の3次元の物品であってよい。基材は、酸化ケイ素、窒化ケイ素、非晶質炭素、シリコンオキシカーバイド、酸窒化ケイ素、炭化ケイ素、ヒ化ガリウム、窒化ガリウム及び類似のものの膜を含む、当分野において周知である多様な材料でコーティングすることができる。これらのコーティングは、基材を完全にコーティングしていてよく、種々の材料の複層であってよく、部分的にエッチングされて材料の下地層を露出させていてよい。表面は、その表面に、基材を部分的にコーティングするように、あるパターンで露光されて現像されたフォトレジスト材料もまた有していてよい。
任意の適した環状シロキサン前駆体を本発明に従って使用することができるが、適したケイ素前駆体の例は以下の式:
Figure 2021527956
によって表される構造を有する少なくとも1つの化合物を含み、式中、R1~4は水素、直鎖又は分岐鎖のC1〜C10アルキル基、直鎖又は分岐鎖のC3〜C10アルケニル基、直鎖又は分岐鎖のC3〜C10アルキニル基、C1〜C6ジアルキルアミノ基及びC6〜C10アリール基から独立に選択され;n=1、2、3、4である。好ましくは、R1~4は水素及びメチルから独立に選択される。式Iを有する例示的な化合物は、2,2,5,5−テトラメチル−1−オキサ−2,5−ジシラシクロペンタン、2,2,6,6−テトラメチル−1−オキサ−2,6−ジシラシクロヘキサンを含むがそれらに限定されない。
本明細書において説明されるケイ素前駆体化合物は、種々の方法で反応チャンバーに、例えばプラズマ強化CVD反応器に輸送することができる。1つの実施態様において、液体輸送システムが利用される。代わりの実施態様において、結合された液体輸送及びフラッシュ気化プロセス装置、例えばMSP Corporation of Shoreview,MNによって製造されるターボ気化器を用いることができ、低い揮発性の材料が体積的に輸送されることを可能とし、前駆体の熱的な分解を伴わない再現可能な輸送及び堆積をもたらす。液体輸送配合物において、本明細書において説明される前駆体は、純粋な液体形態で輸送することができるか、又は代わりに、前駆体を含む溶媒配合物若しくは組成物の形で用いることができる。従って、特定の実施態様において、前駆体配合物は、基材に膜を形成する所与の最終使用用途において望ましくかつ有利であり得る適した特性の溶媒構成要素を含んでいてよい。
堆積は、直接プラズマ又はリモートプラズマ源のいずれかを使用して行うことができる。リモートプラズマ源について、二重のプレナムシャワーヘッドを使用して、シャワーヘッド内部におけるケイ素前駆体の気相とラジカルとの間の予備混合を妨げ、従って粒子の発生を回避することができる。テフロン(登録商標)コーティングを行って、ラジカルの寿命及びラジカルの伝達を最大化することができる。リモートプラズマ源は、例えばマイクロ波プラズマ源であってよい。
ケイ素前駆体化合物は、好ましくは、塩化物などのハロゲン化物イオン又はアルミニウム、鉄、ニッケル、クロムなどの金属イオンを実質的に有さない。本明細書において使用されるとき、用語「実質的に有さない」は、ハロゲン化物イオン(又はハロゲン化物)、例えば塩化物、フッ化物、臭化物及びヨウ化物に関するとき、並びに金属イオン、例えばAl3+、Fe2+、Fe3+、Ni2+及びCr3+に関するとき、(質量で)10ppmより少ない、又は(質量で)5ppmより少ない、好ましくは3ppmより少ない、より好ましくは1ppmより少ない、最も好ましくは0ppmである(例えば約0ppmより多く約1ppmより少ない)ことを意味する。塩化物又は金属イオンは、ケイ素前駆体のための分解触媒として作用することが知られている。最終製品における有意なレベルの塩化物はケイ素前駆体を分解することができる。ケイ素前駆体のゆるやかな分解は、半導体製造について膜の仕様を合わせることを困難にする膜堆積プロセスに直接的に影響を与えることができる。加えて、貯蔵寿命又は安定性は、ケイ素前駆体のより高い分解速度によって負の影響を受け、それによって1〜2年の貯蔵寿命を保証することを困難にする。さらに、ケイ素前駆体の幾つかは、分解に際し、可燃性の及び/又は自然発火性の気体、例えば水素及びシランを形成することが知られている。従って、ケイ素前駆体の加速された分解は、これらの可燃性及び/又は自然発火性の気体状副生成物の形成に関連する安全及び性能の懸念をもたらす。
ハロゲン化物を実質的に有さない本発明による組成物は、最終純化製品が塩化物を実質的に有さないように、(1)化学合成の間に塩化物源を減少させるか又は排除すること及び/又は(2)有効な純化プロセスを行って粗製品から塩化物を除去することによって達成することができる。塩化物源は、合成の間に、ハロゲン化物、例えばクロロジシラン、ブロモジシラン又はヨードジシランを含有しない試薬を使用し、それによってハロゲン化物イオンを含有する副生成物の生成を回避することによって、減少させることができる。加えて、結果として生じる粗製品が塩化物不純物を実質的に有さないように、前述の試薬は塩化物不純物を実質的に有さない。同様の様式で、合成は、ハロゲン化物ベースの溶媒、触媒又は許容できない高いレベルのハロゲン化物汚染物質を含有する溶媒を使用すべきでない。粗製品はまた、種々の純化方法によって処理されて、最終製品を、ハロゲン化物、例えば塩化物を実質的に有さないものにすることができる。このような方法は、従来技術においてよく説明されており、純化プロセス、例えば蒸留又は吸着を含むことができるが、それらに限定されない。一般に、蒸留は、沸点における違いを利用することによって、所望する製品から不純物を分離するのに使用される。吸着もまた、構成要素の異なる吸着特性を利用して、最終製品がハロゲン化物を実質的に有さないように分離をもたらすのに使用することができる。吸着剤、例えば商業的に入手可能なMgO−Al23ブレンドを使用して、ハロゲン化物、例えば塩化物を除去することができる。
本明細書において説明される膜又はコーティングを形成するのに使用される方法は、流動性化学堆積プロセスである。本明細書において開示される方法のための適した堆積プロセスの例は、プラズマ強化化学気相堆積(PECVD)、リモートプラズマ化学気相堆積(RPCVD)、ホットワイヤー化学気相堆積(HWCVD)又はプラズマ強化サイクル性CVD(PECCVD)プロセスを含むがそれらに限定されない。本明細書において使用されるとき、用語「流動性化学気相堆積プロセス」は、基材が1つ又は複数の揮発性前駆体にさらされ、揮発性前駆体が基材表面で反応及び/又は分解して流動性オリゴマーのケイ素含有種を提供し、次いでさらなる処理に際し、固体の膜又は材料を生成する任意のプロセスをいう。本明細書において使用される前駆体、試薬及び源は時には「気体状」と説明される場合があるが、前駆体は、不活性気体を伴って又は伴わずに、反応器中に、直接的な気化、バブリング又は昇華によって運ばれる液体又は固体のいずれかであってよいと理解される。幾つかの場合において、気化された前駆体はプラズマ発生器を通過することができる。1つの実施態様において、膜は、プラズマベースの(例えばリモート発生の又はインサイチュの)CVDプロセスを使用して堆積される。本明細書において使用されるとき、用語「反応器」は、反応チャンバー又は堆積チャンバーを含むがそれらに限定されない。
特定の実施態様において、基材は、1つ又は複数の前堆積処理、例えば、以下に限定するものではないが、プラズマ処理、熱処理、化学処理、紫外光露光、電子ビーム露光及びそれらの組み合わせを受けて、膜の1つ又は複数の特性に影響を与えることができる。これらの前堆積処理は、不活性、酸化性及び/又は還元性から選択される雰囲気下で起こることができる。
エネルギーは、前記化合物、窒素含有源、酸素含有源、他の前駆体又はそれらの組み合わせのうち少なくとも1つに適用されて、反応を誘起して、基材に流動性ケイ素を含有する膜又はコーティングを形成する。このようなエネルギーは、以下に限定するものではないが、熱、プラズマ、パルスプラズマ、ヘリコン波プラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、フォトン、リモートプラズマの方法、及びそれらの組み合わせによって提供することができる。特定の実施態様において、第二のRF周波数源は、基材表面におけるプラズマ特性を変えるために使用することができる。堆積がプラズマを包含する実施態様において、プラズマ発生プロセスは、プラズマを反応器中で直接発生させる直接プラズマ発生プロセス、又は代わりにプラズマを反応器の外部で発生させて反応器中に供給するリモートプラズマ発生プロセスを含んでよい。
先に記載されるように、方法は、表面特徴を備える基材の表面の少なくとも一部に膜を堆積する。基材は反応器中に配置され、基材は約−20℃〜約100℃の範囲の1つ又は複数の温度に保持される。1つの特定の実施態様において、基材の温度はチャンバーの壁より低い。基材温度は、150℃より低い温度に、好ましくは60℃より低い温度に、最も好ましくは40℃より低く−20℃より高い温度に保たれる。
先に記載されるように、基材は1つ又は複数の表面特徴、例えばギャップを備える。1つの特定の実施態様において、1つ又は複数の表面特徴は、100μm以下の幅、1μm以下の幅、又は0.5μmの幅を有する。この実施態様又は他の実施態様において、表面特徴のアスペクト比(深さ:幅の比)は、もし存在するならば、0.1:1以上、1:1以上、10:1以上、20:1以上、又は40:1以上である。基材は、単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウム(サファイア)のウエハ、ガラスのシート、金属のホイル、有機ポリマーの膜であるか、又はポリマーの、ガラスの、ケイ素の又は金属の3次元の物品であってよい。基材は、酸化ケイ素、窒化ケイ素、非晶質炭素、シリコンオキシカーバイド、酸窒化ケイ素、炭化ケイ素、ヒ化ガリウム、窒化ガリウム及び類似のものの膜を含む、当分野において周知である多様な材料でコーティングすることができる。これらのコーティングは、基材を完全にコーティングしていてよく、種々の材料の複層であってよく、部分的にエッチングされて材料の下地層を露出させていてよい。表面は、その表面に、基材を部分的にコーティングするように、あるパターンで露光されて現像されたフォトレジスト材料もまた有していてよい。
特定の実施態様において、反応器は、大気圧より低い圧力であるか、50torr以下であるか、又は10torr以下である。好ましい実施態様において、反応器の圧力は、約0.1torr〜約10torrの範囲に保持される。別の実施態様において、反応器の圧力は、約10torr〜約30torrの範囲に保持され、熱アニールの際により少ない収縮を伴う流動性酸化ケイ素を提供する。
一般的な態様において、本発明は、上の発明の概要に記載される方法及び組成物に向けられたものである。
別の態様において、ケイ素含有膜を堆積させるための方法が提供され、方法は以下の工程を含む。
表面特徴を備える基材を反応器中に配置する工程であって、基材が約−20℃〜約150℃の範囲の1つ又は複数の温度に保持され、反応器の圧力が100torr以下に保持される工程;
以下の式:
Figure 2021527956
によって表される構造を有し、式中、R1~4が水素、直鎖又は分岐鎖のC1〜C10アルキル基、直鎖又は分岐鎖のC3〜C10アルケニル基、直鎖又は分岐鎖のC3〜C10アルキニル基、C1〜C6ジアルキルアミノ基及びC6〜C10アリール基から独立に選択され、n=1、2、3、4であり、好ましくはR1~4が水素及びメチルから独立に選択される少なくとも1つの化合物からなる群から選択される少なくとも1つの化合物を導入する工程;
活性化された酸素源を反応器中に提供し、少なくとも1つの化合物と反応させて膜を形成して表面特徴の少なくとも一部をカバーする工程であって、酸素源が、例えばインサイチュプラズマ又はリモートプラズマによって活性化される工程;
約100℃〜1000℃の1つ又は複数の温度で膜をアニールする工程、及び任意選択で、この熱アニール工程に次いで、さらなるアニールのためにコーティングにUV照射を受けさせる工程;並びに
任意選択で、約100℃〜約1000℃の範囲の1つ又は複数の温度で、基材を酸素源で処理し、表面特徴の少なくとも一部にケイ素含有膜を形成する工程。特定の実施態様において、酸素源は、水蒸気、水プラズマ、オゾン、酸素、酸素プラズマ、酸素/ヘリウムプラズマ、酸素/アルゴンプラズマ、酸化窒素プラズマ、二酸化炭素プラズマ、過酸化水素、有機過酸化物及びそれらの混合物からなる群から選択される。この実施態様又は他の実施態様において、方法の工程は、表面特徴がケイ素含有膜で充填されるまで繰り返される。水蒸気が酸素源として用いられる実施態様において、基材温度は、約−20℃〜約40℃又は約−10℃〜約25℃の範囲である。
別の態様において、窒化ケイ素、炭素ドープ窒化ケイ素、酸窒化ケイ素及び炭素ドープ酸窒化ケイ素の膜からなる群から選択されるケイ素含有膜を堆積させるための方法が提供されていて、方法は以下の工程を含む。
表面特徴を備える基材を、−20℃〜約150℃の範囲の温度に加熱され、100torr以下の圧力に保持される反応器中に配置する工程;
以下の式:
Figure 2021527956
によって表される構造を有し、式中、R1~4が水素、直鎖又は分岐鎖のC1〜C10アルキル基、直鎖又は分岐鎖のC3〜C10アルケニル基、直鎖又は分岐鎖のC3〜C10アルキニル基、C1〜C6ジアルキルアミノ基及びC6〜C10アリール基から独立に選択され、n=1、2、3、4であり、好ましくはR1~6が水素及びメチルから独立に選択される少なくとも1つの化合物からなる群から選択される少なくとも1つの化合物を反応器中に導入する工程;
リモート又はインサイチュのいずれかのプラズマ源を反応器に提供し、化合物と反応させて、表面特徴の少なくとも一部にコーティングを形成する工程であって、1つの特定の実施態様において、化合物と反応してコーティングを形成するプラズマ源は、窒素プラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素及びヘリウムを含むプラズマ、水素及びアルゴンを含むプラズマ、アンモニア及び水素を含むプラズマ、有機アミンプラズマ並びにそれらの混合物からなる群から選択される工程;並びに
約100℃〜1000℃又は約100℃〜400℃の範囲の1つ又は複数の温度でコーティングをアニールして、表面特徴の少なくとも一部にケイ素含有膜を形成する工程。任意選択で、この熱アニール工程の後に、さらなるアニールのためにコーティングにUV照射を受けさせることができる。流動性プラズマ強化CVD法について、上の工程は、表面特徴が高密度化された1つ又は複数の膜で充填されるまで繰り返すことができる。
本発明の前駆体、及びそれらに含まれる構成要素のうち1つ又は複数を含有する他の関連する配合物は、ガラスの、プラスチックの若しくは金属のコンテナ又は当分野において公知である適したコンテナ、例えば米国特許第4828131号;6077356号;6526824号;7124913号;及び7261118号明細書において開示されているコンテナ中に貯蔵する、輸送する及び送ることができ、先の文献の全ては、参照によってそれらの全体が本明細書に組み込まれる。
プラスチック又はガラスで裏張りされた金属の容器又はコンテナもまた使用することができる。好ましくは、材料は貯蔵され、ヘッドスペースに不活性ガスを有する密閉してシールされた高純度のステンレス鋼の又はニッケル合金の容器から輸送される。最も好ましくは、材料は貯蔵され、容器の気相空間に連絡する出口及びダウンチューブを備える密閉してシールされた高純度のステンレス鋼の又はニッケル合金の容器から輸送され;製品がダウンチューブから液体としてか、又は気相と連結する出口接続から気相としてのいずれかで輸送されることを可能とする。後者の場合において、任意選択で、ダウンチューブは、キャリアガスを容器中に導入して混合物の気化を促進するのに使用することができる。この実施態様において、ダウンチューブ及び気相出口接続は、高清浄パックレスバルブを備える。液体の輸送は、本明細書において説明されるこの配合物の構成要素の分離を回避することが好ましいが、本発明の配合物は、配合物が気相混合物として輸送されることを可能とするのに十分に近い構成要素の蒸気圧に合わせることに注意すべきである。好ましくは、ステンレス鋼は、UNS合金番号S31600、S31603、S30400、S30403、S31700、S31703、S31500、S31803、S32750及びS31254から選択することができる。好ましくは、ニッケル合金は、UNS合金番号N06625、N10665、N06022、N10276及びN06007から選択することができる。最も好ましくは、容器は、コーティングされていないか、内部的に電解研磨されているか、又は内部的にフルオロポリマーでコーティングされているかのいずれかである合金S31603又はN06022で作られる。
本明細書において説明される配合物は、流動性酸化ケイ素膜の速くかつ均一な堆積を提供するのに使用することができる。本明細書において説明される配合物は、水並びに任意選択の共溶媒、界面活性剤及び他の添加剤を含有する別の反応体とともに使用して、基材上に堆積させることができる。この反応体配合物の分配又は輸送は、直接的な液体注入、スプレー、浸漬、共凝縮又は遠心スピンコーティングによって達成することができる。次いで、配合物は、固体の膜又はボディーが得られるまで反応することができる。溶媒及び反応しなかった水を含む反応しなかった揮発性種を除去するための、不活性ガス、真空、熱又は外部エネルギー源(光、熱、プラズマ、電子ビームなど)の使用は、続いて膜の凝縮を促進する。好ましくは、本発明の配合物は、水を有するプロセス流体として、例えば、以下に限定するものではないが、気相、液滴、ミスト、霧、エアロゾル、昇華固体又はそれらの組み合わせとして、堆積チャンバー中に含有される基材に輸送することができ、任意選択で共溶媒及び他の添加剤もまたプロセス流体として、例えば気体、気相、エアロゲル、ミスト又はそれらの組み合わせとして添加することができる。好ましくは、本発明の配合物は、基材の表面の凝縮された膜に凝縮するか又は溶解し、有利には、基材はチャンバーの壁の温度より低い温度に保つことができる。本発明の共混合された堆積前駆体及び触媒は、基材の表面で一様な速度で反応して、反応製品を非揮発性の膜にすることができる。次いで、反応しなかった前駆体、水、任意選択で共溶媒及び添加剤は、安定な固体のケイ素含有膜が得られるまで、ガスパージ、真空、加熱、追加の外部照射(光、プラズマ、電子ビームなど)によって除去することができる。
本明細書を通して、本明細書において使用されるとき、用語「酸化ケイ素」は化学量論比の又は化学量論比でない酸化ケイ素、炭素ドープ酸化ケイ素、シリコンカルボキシニトリド及びそれらの混合物からなる群から選択されるケイ素及び酸素を含む膜をいう。本明細書において説明される式I又はIIを有するケイ素前駆体及びプロセスを使用して形成されるケイ素含有の又は窒化ケイ素の膜の例は、式Sixyzvwを有し、式中、Siは約10%〜約50%の範囲であり;Oは約0%〜約70%の範囲であり;Cは約0%〜約40%の範囲であり;Nは約10%〜約75%又は約10%〜60%の範囲であり;Hは約0%〜約10%の原子重量%であり、例えばX線光電子分光法(XPS)又は二次イオン質量分析法(SIMS)によって決定されるとき、x+y+z+v+w=100原子質量%である。
本明細書を通して、本明細書において使用されるとき、用語「特徴」は、ビア、トレンチなどを有する半導体基材又は部分的に製造された半導体基材をいう。
本発明の特定の実施態様が、以下の実施例によって例示される。これらの実施例は、添付の請求の範囲を限定するものではない。
流動性化学気相堆積(FCVD)膜を、中位の抵抗(8〜12Ωcm)の単結晶シリコンウエハ基材及びSiのパターン付きウエハの上に堆積した。パターン付きウエハについて、好ましいパターンの幅は、5:1〜20:1のアスペクト比で20〜100nmである。堆積は、Applied Materials Precision 5000システムにおける改造したFCVDチャンバーで、二重のプレナムシャワーヘッドを使用して行った。チャンバーは直接的な液体注入(DLI)輸送能力を備えていた。前駆体は、前駆体の沸点に依存する輸送温度を有する液体であった。初期の流動性酸化ケイ素膜を堆積するために、典型的な液体前駆体流速は約100〜約5000mg/min、好ましくは1000〜2000mg/minの範囲であり;チャンバー圧力は約0.75〜12Torr、好ましくは0.5〜2Torrの範囲であった。詳細には、リモートの電力は、0〜3000Wの、2.455GHzの周波数を有するMKSマイクロ波発生器によって、2〜8Torrで操作して供給される。堆積した流動性膜を高密度化するために、膜を、100〜1000℃、好ましくは300〜400℃で、改造したPECVDチャンバーを使用して、真空中で、熱アニールするか、及び/又はUV硬化した。厚さ及び632nmにおける屈折率(RI)を、SCI反射計又はWoollamエリプソメータによって測定した。典型的な膜厚は、約10〜約2000nmの範囲であった。ケイ素ベースの膜の結合特性、水素含有量(Si−H及びC−H)を、Nicolet透過フーリエ変換赤外分光(FTIR)ツールによって測定及び解析した。X線光電子分光(XPS)分析を行って膜の元素組成を決定した。誘電率、リーク電流及び破壊電界を含む電気的特性の測定のために、水銀プローブを用いた。Alパターン付けしたウエハにおける流動性及び膜充填効果を、Hitachi S−4800システムを2.0nmの分解能で使用して、断面の走査型電子顕微鏡(SEM)観察によって観察した。
リモートプラズマ源(RPS)による流動性SiOC膜の堆積のために、2,2,5,5−テトラメチル−2,5−ジシラ−1−オキサシクロペンタン(TMDSOCH)を使用した。TMDSOCH流は2100mg/minであり、酸素流は3000sccmであり、圧力は2.5Torrであった。基材温度は40℃であった。マイクロ波電力は2000Wであった。堆積した膜を、300℃で5minの間熱アニールし、次いで400℃で4minの間UV硬化した。堆積した膜の厚さ及び屈折率は1675.8nm及び1.431であり、熱アニール後の厚さ及び屈折率は1249.9nm及び1.423であり、上昇した温度における幾らかの揮発性オリゴマーの損失を示す。XPSによって測定した熱アニールした膜の元素組成は、30.6%C、40.0%O及び29.4%Siである。熱アニール後の膜の誘電率は3.50であり、これは未結合手による幾らかの水分吸収に起因すると考えられる。UV硬化後の厚さ及び屈折率は、968.3nm及び1.349であり、膜がUV硬化によって改質し、幾らかのポロシティを導入したことを示す。XPSによって測定した熱アニール及びUV硬化の後の膜の元素組成は、21.6%C、45.4%O及び33.0%Siであり、UV硬化を伴う膜において炭素の損失があることを示す。UV硬化した膜の誘電率は2.56であった。断面SEMは、パターン付きウエハにおいて良好なギャップ充填が達成されたことを示す。図1及び図2は良好なギャップ充填を示した。膜を熱アニール及びUV硬化した。図3は、(a)堆積したままの膜、(b)熱アニール後の膜及び(c)熱アニール及びUV硬化の後の膜についてのFTIRスペクトルを示す。
本発明の原理が、好ましい実施態様と合わせて上で説明されたが、この説明は単に例としてされていて、本発明の範囲を限定するものではないと明確に理解される。

Claims (18)

  1. ケイ素含有膜を基材上に形成するための流動性化学気相堆積方法であって、反応チャンバー中に前記基材を配置する工程、並びに式I:
    Figure 2021527956
    によって表され、式中、R1~4が水素、直鎖又は分岐鎖のC1〜C10アルキル基、直鎖又は分岐鎖のC3〜C10アルケニル基、直鎖又は分岐鎖のC3〜C10アルキニル基、ジ−C1〜C6−アルキルアミノ基及びC6〜C10アリール基から独立に選択され、n=1、2、3、4である少なくとも1つの環状シロキサン化合物と、少なくとも1つの活性種とを前記チャンバー中に導入する工程を含み、前記ケイ素含有化合物と前記活性種とが反応して前記基材上に流動性膜として凝縮するように反応器条件が制御され、前記少なくとも1つの活性種が前記反応チャンバーに対してリモートで活性化される、方法。
  2. 前記基材が、高いアスペクト比のギャップを間に有する表面特徴を備え、前記ケイ素含有化合物と前記活性種とが反応して前記ギャップ中に前記流動性膜を形成する、請求項1に記載の方法。
  3. 前記高いアスペクト比のギャップが3:1〜10:1の範囲の深さ:幅のアスペクト比を有する、請求項2に記載の方法。
  4. 前記活性種がリモートプラズマ源、リモートマイクロ波源又はリモートホットワイヤーシステムを使用して生成される、請求項1に記載の方法。
  5. 前記少なくとも1つの活性種が、水蒸気、オゾン、酸素、酸素/ヘリウム、酸素/アルゴン、酸化窒素、二酸化炭素、過酸化水素、有機過酸化物及びそれらの混合物からなる群から選択される種へのプラズマ源又はリモートマイクロ波源の作用によって生成される酸化剤である、請求項1に記載の方法。
  6. 前記少なくとも1つの環状シロキサン化合物が、2,2,5,5−テトラメチル−1−オキサ−2,5−ジシラシクロペンタンと2,2,6,6−テトラメチル−1−オキサ−2,6−ジシラシクロヘキサンとのうち一方又は両方を含む、請求項1に記載の方法。
  7. プラズマ、UV照射及び熱アニールからなる群から選択される処理で前記流動性膜を処理する工程をさらに含む、請求項1に記載の方法。
  8. 前記処理で前記流動性膜を処理する前記工程が前記流動性膜を誘電材料に変換する、請求項7に記載の方法。
  9. 前記少なくとも1つの活性種が、窒素、窒素及びヘリウムの混合物、窒素及びアルゴンの混合物、アンモニア;アンモニア及びヘリウムの混合物、アンモニア及びアルゴンの混合物、ヘリウム、アルゴン;水素、水素及びヘリウムの混合物、水素及びアルゴンの混合物、アンモニア及び水素の混合物、有機アミン並びにそれらの混合物から選択される種へのプラズマ源又はリモートマイクロ波源の作用によって生成される、請求項1に記載の方法。
  10. 請求項1に記載の方法によって形成される膜。
  11. 基材上への膜の流動性化学気相堆積のための組成物であって、前記組成物が2,2,5,5−テトラメチル−1−オキサ−2,5−ジシラシクロペンタンを含み、前記組成物が10ppmより少ないハロゲン化物イオン不純物を含み、前記ハロゲン化物イオンが塩化物、フッ化物、臭化物及びヨウ化物からなる群から選択される、組成物。
  12. 前記組成物が1ppmより少ない前記ハロゲン化物イオン不純物を含む、請求項11に記載の組成物。
  13. 前記組成物が10ppmより少ない金属イオン不純物を含み、前記金属イオンがAl3+、Fe2+、Fe3+、Ni2+及びCr3+からなる群から選択される、請求項11に記載の組成物。
  14. 前記組成物が1ppmより少ない前記金属イオン不純物を含む、請求項13に記載の組成物。
  15. 基材上への膜の流動性化学気相堆積のための組成物であって、前記組成物が2,2,6,6−テトラメチル−1−オキサ−2,6−ジシラシクロヘキサンを含み、前記組成物が10ppmより少ないハロゲン化物イオン不純物を含み、前記ハロゲン化物イオンが塩化物、フッ化物、臭化物及びヨウ化物からなる群から選択される、組成物。
  16. 前記組成物が1ppmより少ない前記ハロゲン化物イオン不純物を含む、請求項15に記載の組成物。
  17. 前記組成物が10ppmより少ない金属イオン不純物を含み、前記金属イオンがAl3+、Fe2+、Fe3+、Ni2+及びCr3+からなる群から選択される、請求項15に記載の組成物。
  18. 前記組成物が1ppmより少ない前記金属イオン不純物を含む、請求項17に記載の組成物。
JP2020569819A 2018-06-15 2019-06-16 シロキサン組成物、及び前記組成物を使用してケイ素含有膜を堆積させるための方法 Active JP7230067B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862685867P 2018-06-15 2018-06-15
US62/685,867 2018-06-15
US16/442,511 2019-06-16
PCT/US2019/037402 WO2019241763A1 (en) 2018-06-15 2019-06-16 Siloxane compositions and methods for using the compositions to deposit silicon containing films
US16/442,511 US20190382886A1 (en) 2018-06-15 2019-06-16 Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films

Publications (2)

Publication Number Publication Date
JP2021527956A true JP2021527956A (ja) 2021-10-14
JP7230067B2 JP7230067B2 (ja) 2023-02-28

Family

ID=68839645

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020569819A Active JP7230067B2 (ja) 2018-06-15 2019-06-16 シロキサン組成物、及び前記組成物を使用してケイ素含有膜を堆積させるための方法

Country Status (9)

Country Link
US (1) US20190382886A1 (ja)
EP (1) EP3807446A4 (ja)
JP (1) JP7230067B2 (ja)
KR (1) KR102555932B1 (ja)
CN (1) CN112334597B (ja)
IL (1) IL279320A (ja)
SG (1) SG11202011887XA (ja)
TW (2) TW202120734A (ja)
WO (1) WO2019241763A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117524851A (zh) * 2024-01-03 2024-02-06 长鑫新桥存储技术有限公司 氧化硅薄膜的制备方法及半导体结构

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4110969A4 (en) * 2020-03-31 2023-10-18 Versum Materials US, LLC NEW PRECURSOR FOR DEPOSING HIGH ELASTIC MODULE FILM

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090017231A1 (en) * 2007-07-12 2009-01-15 Kang Sub Yim Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition
JP2010503700A (ja) * 2006-09-14 2010-02-04 スターファイアー システムズ, インコーポレイテッド 環式有機シランの合成方法
JP2010275602A (ja) * 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
US20140302688A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Flowable silicon-carbon-oxygen layers for semiconductor processing
JP2016005001A (ja) * 2014-06-16 2016-01-12 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アルキルアルコキシシラ環状化合物及びそれを用いた膜の堆積方法
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
WO2018053129A1 (en) * 2016-09-19 2018-03-22 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3636888A1 (de) 1986-10-30 1988-05-11 Merck Patent Gmbh Transportbehaelter fuer hochreine chemikalien
US6717014B1 (en) * 1996-06-28 2004-04-06 Fmc Corporation Processes for preparing haloamines and tertiary aminoalkylorganometallic compounds
EP0953064B1 (en) 1996-12-17 2007-09-12 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
US6235864B1 (en) * 1999-05-12 2001-05-22 Sandia Corporation Non-strinking siloxane polymers
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6526824B2 (en) 2001-06-07 2003-03-04 Air Products And Chemicals, Inc. High purity chemical container with external level sensor and liquid sump
US7124913B2 (en) 2003-06-24 2006-10-24 Air Products And Chemicals, Inc. High purity chemical container with diptube and level sensor terminating in lowest most point of concave floor
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
DE102012015571B4 (de) * 2011-08-12 2017-01-26 Gelest Technologies, Inc. Dual funktionelle lineare Siloxane, durch stufenweise ansteigendes Polymerisationswachstum entstehende Polymere, und Verfahren zum Herstellen dieser Polymere
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
WO2016183071A1 (en) * 2015-05-11 2016-11-17 Incyte Corporation Hetero-tricyclic compounds and their use for the treatment of cancer
WO2018017684A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition of flowable silicon-containing films
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010503700A (ja) * 2006-09-14 2010-02-04 スターファイアー システムズ, インコーポレイテッド 環式有機シランの合成方法
US20090017231A1 (en) * 2007-07-12 2009-01-15 Kang Sub Yim Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition
JP2010275602A (ja) * 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
US20140302688A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Flowable silicon-carbon-oxygen layers for semiconductor processing
JP2016005001A (ja) * 2014-06-16 2016-01-12 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated アルキルアルコキシシラ環状化合物及びそれを用いた膜の堆積方法
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
WO2018053129A1 (en) * 2016-09-19 2018-03-22 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117524851A (zh) * 2024-01-03 2024-02-06 长鑫新桥存储技术有限公司 氧化硅薄膜的制备方法及半导体结构
CN117524851B (zh) * 2024-01-03 2024-05-14 长鑫新桥存储技术有限公司 氧化硅薄膜的制备方法及半导体结构

Also Published As

Publication number Publication date
JP7230067B2 (ja) 2023-02-28
CN112334597A (zh) 2021-02-05
TW202000968A (zh) 2020-01-01
WO2019241763A1 (en) 2019-12-19
KR102555932B1 (ko) 2023-07-13
SG11202011887XA (en) 2020-12-30
KR20210008172A (ko) 2021-01-20
EP3807446A4 (en) 2022-03-30
IL279320A (en) 2021-01-31
US20190382886A1 (en) 2019-12-19
TWI710659B (zh) 2020-11-21
TW202120734A (zh) 2021-06-01
CN112334597B (zh) 2023-03-10
EP3807446A1 (en) 2021-04-21

Similar Documents

Publication Publication Date Title
JP6849792B2 (ja) 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
JP6949912B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP7153100B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
JP7139475B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP2019507956A (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP6882468B2 (ja) 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
KR102543855B1 (ko) 규소 및 질소 포함 필름의 제조 방법
JP6999620B2 (ja) 高い炭素含有量を有する炭素ドープ酸化ケイ素膜および炭化ケイ素膜の製造方法
JP7125515B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
JP7230067B2 (ja) シロキサン組成物、及び前記組成物を使用してケイ素含有膜を堆積させるための方法
TWI776666B (zh) 含有矽雜環烷的組合物及使用其沉積含矽膜的方法
TWI744957B (zh) 用於沉積含矽膜的組合物及其使用方法
JP2022504261A (ja) ケイ素及び窒素を含有する膜を製造するための方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220523

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220712

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230215

R150 Certificate of patent or registration of utility model

Ref document number: 7230067

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150