JP2021516453A - ナノスケール整列3次元積層集積回路 - Google Patents

ナノスケール整列3次元積層集積回路 Download PDF

Info

Publication number
JP2021516453A
JP2021516453A JP2020565259A JP2020565259A JP2021516453A JP 2021516453 A JP2021516453 A JP 2021516453A JP 2020565259 A JP2020565259 A JP 2020565259A JP 2020565259 A JP2020565259 A JP 2020565259A JP 2021516453 A JP2021516453 A JP 2021516453A
Authority
JP
Japan
Prior art keywords
layer
die
overlay
wafer
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020565259A
Other languages
English (en)
Inventor
ヴイ. スリーニヴァッサン,シトルガタ
ヴイ. スリーニヴァッサン,シトルガタ
アジャイ,パラズ
サヤル,アシーム
アベド,オバディア
マクダーモット,マーク
クルカリン,ジェイディープ
シンハル,シュラワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2021516453A publication Critical patent/JP2021516453A/ja
Priority to JP2023061381A priority Critical patent/JP2023082186A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32104Disposition relative to the bonding area, e.g. bond pad
    • H01L2224/32105Disposition relative to the bonding area, e.g. bond pad the layer connector connecting bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80004Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a removable or sacrificial coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80054Composition of the atmosphere
    • H01L2224/80075Composition of the atmosphere being inert
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80099Ambient temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8012Aligning
    • H01L2224/80121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • H01L2224/8013Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors using marks formed on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8012Aligning
    • H01L2224/80121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • H01L2224/80132Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors using marks formed outside the semiconductor or solid-state body, i.e. "off-chip"
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83874Ultraviolet [UV] curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/9512Aligning the plurality of semiconductor or solid-state bodies
    • H01L2224/95121Active alignment, i.e. by apparatus steering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/9512Aligning the plurality of semiconductor or solid-state bodies
    • H01L2224/95136Aligning the plurality of semiconductor or solid-state bodies involving guiding structures, e.g. shape matching, spacers or supporting members
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1437Static random-access memory [SRAM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

3次元の(3D)積層集積回路を製造する方法。ピックアンドプレース戦略は、標準的な2次元の(2D)半導体製造技術を用いて製造されたデバイス層を備えたソースウェハを積層するために使用される。ソースウェハは、順次又は並列に積層されてよい。積層は、フェイス・ツー・フェイス、フェイス・ツー・バック、バック・ツー・フェイス又はバック・ツー・バックの方式であってよい。フェイス・ツー・バック、バック・ツー・フェイス又はバック・ツー・バックで積層されたソースウェハは、シリコン貫通ビア(TSV)を用いて接続されてよい。あるいは、フェイス・ツー・フェイスで積層されたソースウェハは、層間ビア(ILV)を用いて接続されてよい。【選択図】図2

Description

(関連出願の相互参照)
本出願は、その全体が参照により本明細書に組み込まれる、2017年12月22日に出願された「Nanoscale-Aligned 3D Stacked Integrated Circuit」という名称の米国仮特許出願第62/609,891号の優先権を主張する。
(技術分野)
本発明は、概して、半導体製造に関し、より詳細には、ナノスケールアライン3次元(3D)積層集積回路に関する。
ムーアの法則は、高密度集積回路内のトランジスタの数が約2年ごとに2倍になるという観察である。ムーアの法則で特徴づけられる電子回路の2次元の(2D)スケーリングは、特徴次元が原子スケールに達するにつれて、最近の時間において限界に達している可能性がある。例えば、10nm技術ノードのための高Kキャッピング層の厚さは0.5nmに近く、これは2つのシリコン原子の幅よりも小さい。マルチパターニング技術(MPT)の計測精度要件は、1つのシリコン原子の幅よりも小さい0.2nmに近い。
これら及び他の制限に照らして、2Dスケーリング及び一般的なトップダウン製造は、7nmノード及びそれを超えて継続する上で大きな課題を有している。
本発明の一実施形態では、3次元の(3D)システムオンチップ(SoC)を製造する方法は、層(k)2次元の(2D)ダイアレイを、層(k−1)ウェハの層(k−1)2Dダイアレイ上に組み立て、ここで、層(k−1)ウェハに2Dダイが配置され、kは1より大きい正の整数である。2Dダイアレイは、単一の2Dダイ、2Dダイの連続したグループを形成する2Dダイの単一のアイランド、又は2Dダイの複数のアイランドを含む。本方法は、層(k)2Dダイアレイと層(k−1)2Dダイアレイとの間の潤滑された相対運動を可能にする流体を展開することをさらに含み、ここで、流体は、層(k)及び層(k−1)2Dダイアレイの精密なオーバーレイを可能にする。
本発明の別の実施形態では、3次元の(3D)システムオンチップ(SoC)を製造する方法は、層(k)2次元の(2D)ダイアレイを、層(k−1)ウェハの層(k−1)2Dダイアレイ上に組み立て、ここで、層(k−1)ウェハに2Dダイが配置され、kは1より大きい正の整数である。2Dダイアレイは、単一の2Dダイ、2Dダイの連続したグループを形成する2Dダイの単一のアイランド、又は2Dダイの複数のアイランドを含む。本方法は、さらに、ピックアンドプレースプロセス中に使用されるエッチャントから層(k)ウェハ及び層(k−1)ウェハのそれぞれの2Dダイを保護するためのカプセル化層を提供することを含む。
本発明の別の実施形態では、3次元の(3D)システムオンチップ(SoC)を製造する方法は、層(k)ウェハの層(k−1)2Dダイアレイ上に層(k)2次元の(2D)ダイアレイを組み立て、ここで、層(k−1)ウェハに2Dダイが配置され、kは1よりも大きい正の整数である。さらに、2Dダイアレイは、単一の2Dダイ、2Dダイの連続するグループを形成する2Dダイの単一のアイランド、又は2Dダイの複数のアイランドを含む。さらに、2Dダイは、10マイクロメートル未満の厚さを有する。
本発明のさらなる実施形態では、3次元の(3D)特定用途向け集積回路(ASIC)システムオンチップ(SoC)論理回路を設計するための電子設計自動化(EDA)方法論は、2次元の(2D)EDAソリューションと一体化されたソフトウェアの組み合わせを含み、ここで、ソフトウェアは、3D設計ネットリストを2Dモジュールに分割するためのネットリスト分割アルゴリズムを含む。2DEDAソリューションは、合成、3D配置認識合成、配置、クロックツリー合成(CTS)、ルーティング、設計検証、及びサインオフ解析のうちの1つ以上を実行するために使用される。
上記は、以下の本発明の詳細な説明がより良く理解され得るように、本発明の1つ以上の実施形態の特徴及び技術的利点をむしろ一般的に概説した。本発明のさらなる特徴及び利点は、本発明の特許請求の範囲の主題を形成し得る以下に記載される。
本発明のより良い理解は、以下の詳細な説明が以下の図面と併せて考慮されるときに得られる。
図1は、本発明の一実施形態による様々な2Dダイ配置を示す例示的な層−kソースウェハを示す。 図2は、本発明の一実施形態による、層1の2Dダイアレイ上への層−kの2Dダイアレイ(k>1)の積層を示す。 図3Aは、本発明の一実施形態による、2つの埋め込み層を有する層−kシリコンオンインシュレータ(SOI)ウェハの断面を示す。 図3Bは、本発明の一実施形態による、2つの埋め込み層を有する層−kシリコンオンインシュレータ(SOI)ウェハの断面を示す。 図4Aは、本発明の一実施形態による層−kSOIウェハの別の断面を示す図である。 図4Bは、本発明の一実施形態による層−kSOIウェハの別の断面を示す図である。 図5Aは、本発明の一実施形態による層−kSOIウェハのさらなる断面を示す。 図5Bは、本発明の一実施形態による層−kSOIウェハのさらなる断面を示す。 図6は、本発明の一実施形態による、反転及びバルク材料除去のためのバックグラインディングベースのアプローチのための方法のフローチャートである。 図7Aは、本発明の一実施形態による、図6に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図7Bは、本発明の一実施形態による、図6に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図7Cは、本発明の一実施形態による、図6に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図7Dは、本発明の一実施形態による、図6に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図8は、本発明の一実施形態による、反転及びバルク材料除去のための剥離ベースのアプローチのための方法のフローチャートである。 図9Aは、本発明の一実施形態による、図8に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図9Bは、本発明の一実施形態による、図8に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図9Cは、本発明の一実施形態による、図8に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図9Dは、本発明の一実施形態による、図8に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図9Eは、本発明の一実施形態による、図8に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。 図10は、本発明の一実施形態による、複数のパックされた2Dダイのオーバーレイ及び歪み制御のための方法のフローチャートである。 図11Aは、本発明の一実施形態に従った、図10に記載されたステップを使用して、複数のパックされた2Dダイのオーバーレイ及び歪み制御を提供するための断面図を示す。 図11Bは、本発明の一実施形態に従った、図10に記載されたステップを使用して、複数のパックされた2Dダイのオーバーレイ及び歪み制御を提供するための断面図を示す。 図12Aは、本発明の一実施形態による、単一のピックされた2Dダイのオーバーレイ及び歪み制御を示す図である。 図12Bは、本発明の一実施形態による、単一のピックされた2Dダイのオーバーレイ及び歪み制御を示す図である。 図13は、本発明の一実施形態による、シリコン貫通ビア(TSV)が、ピックアンドプレースされた2Dダイに既に存在するアクセスホールを介して形成されることを示す。 図14Aは、本発明の一実施形態による一時的な取り付け及び結合のための例示的なプロセスを示す。 図14Bは、本発明の一実施形態による一時的な取り付け及び結合のための例示的なプロセスを示す。 図14Cは、本発明の一実施形態による一時的な取り付け及び結合のための例示的なプロセスを示す。 図15は、本発明の一実施形態による論理実装のための従来の2D特定用途向け集積回路(ASIC)電子設計自動化(EDA)フローを示す。 図16は、本発明の一実施形態による2D片面スタティックランダムアクセスメモリ(SRAM)構成を示す。 図17は、本発明の一実施形態による3DスタンドアロンSRAMダイスタッキングを示す。 図18は、本発明の一実施形態による3Dオンリービットセル積層SRAMを示す。 図19は、本発明の一実施形態による3Dオンリービットセル積層SRAMの垂直ビット線断面を示す。
背景のセクションで述べたように、2次元の(2D)スケーリング及び一般的なトップダウン製作は、7nmノード及びそれを超えて継続する上で大きな課題を有している。
本発明の実施形態は、以下に説明するように、第3の(3rd)次元でスケーリングすることによって、このような課題に対処する。
一実施形態では、本発明は、標準的な2D半導体製造プロセス(図1との関連で後述する)を使用して製造されたデバイス層を有するソースウェハを使用すると共に、ピックアンドプレース戦略を使用して、それら(ソースウェハ)を順次又は並行に積層する。そのようなピックアンドプレース戦略は、Sreenivasanら(WO2018/119451A1)(以下、「Sreenivasanら」と呼ぶ)において議論されており、その全体が参照により本明細書に組み込まれる。一実施形態では、積層は、フェイス・ツー・フェイス(F2F)、フェイス・ツー・バック(F2B)、バック・ツー・フェイス(B2F)、又はバック・ツー・バック(B2B)方式で行われる。B2F、F2B及びB2Bは、例えば、シリコン貫通ビア(TSV)を使用して接続することができる。F2Fは、層間ビア(ILV)を使用して接続することができる。
ここで、標準的な半導体プロセスに関する議論が適切であると考えられる。
本明細書で使用される「層−0ソースウェハ」は、標準的な2D製造プロセスを使用して製造されるトランジスタ及び相互接続からなる完全密集ウェハを指す。この層は、関連するアライメントマークも含み、最終的なウェハスケールの3次元の(3D)集積回路(IC)積層のための開始層を形成する。
本明細書で使用する「層−kソースウェハ」とは、シリコンの下に埋め込まれた酸化物などの少なくとも1つの犠牲層を含むウェハ上に、標準的な2D製造プロセスを使用して製造されたトランジスタ及び相互接続からなる完全に配置されたウェハを指し、この層は、関連するアライメントマークも含み、層“k−1”上に組み立てられ、3D−IC積層の一部である。この層のアセンブリは、1つのステップ(すべての2Dダイが一度にピックアップされる)であってもよいし、単一の2Dダイアレイ又は複数の2Dダイアレイが層“k”ウェハからピックアップされ、層“k−1”ウェハ上に正確に配置される複数のステップであってもよい。
一実施形態では、アセンブリは、層(k)ウェハの各2Dダイと層(k−1)ウェハの対応する2Dダイとの間に、サブ50nm、サブ30nm、サブ20nm、サブ10nm、又はサブ5nmのオーバーレイを達成するように実行される。
図1を参照すると、図1は、本発明の一実施形態による様々な2Dダイ配置を示す、例示的な層−kソースウェハ100を示す。
図1を参照すると、層−kソースウェハ100は、単一の2Dダイである2Dダイアレイ101と、2Dダイの連続したアイランドである2Dダイアレイ102と、アイランドのグループである2Dダイアレイ103とを含む。
本明細書で使用される「2Dダイ」は、3次元の(3D)システムオンチップ(SoC)の単一層を指し、ここで、3D−SoCは、3次元配置で正確に積層された少なくとも2つの2Dダイを含む。これらの2Dダイは、標準的な2D半導体製造プロセスを用いて製造される。一実施形態では、2Dダイの厚さは、10マイクロメートル未満であってもよい。バックグラインディングのような標準的なウェハ薄化プロセスを用いて薄くされたウェハは、研削プロセスに起因する欠陥のために、15μm以上の厚さにとどまると予測される。しかしながら、非研削プロセスを用いて製造された2Dダイは、現在の厚さ限界よりも著しく小さい厚さで製造することができる。
本明細書で使用される「2Dダイアレイ」は、単一の2Dダイ(2Dダイアレイ101を参照)又は2Dダイのグループを指し、これらは、それらのソースウェハ(例えば、層−k)から集合的に移動され、集合的にかつ正確に前のウェハ(層−k−1)上に組み立てられる(ここで、k>1)。この2Dダイアレイは、隣接するグループを形成する2Dダイの単一のアイランド(2Dダイアレイ102を参照)を含むことができる。あるいは、2Dダイアレイは、2Dダイの複数のアイランドを含むことができ、2Dダイの各アイランドは連続したグループを形成するが、アイランドは連続していない(2Dダイアレイ103を参照)。
本明細書で使用される「オーバーレイ」は、ウェハ上のすべての点で定義されるベクトル量を指す。これは、基板ジオメトリ上のポイントのベクトル位置と、オーバーレイパターン内の対応するポイントのベクトル位置との差です。一般的に受け入れられているオーバーレイの定量化子は、前記オーバーレイベクトルの大きさの(平均+3シグマ)値である。
本明細書で用いる「アライメント」とは、2つのオーバーレイボディ間の剛体誤差(平行移動及び回転)のセットを指す。
図2を参照すると、図2は、本発明の一実施形態による、層−1の2Dダイアレイ上への層−k 2Dダイアレイ(k>1)の積層を示す。
図2に示されるように、1つの実施形態では、ソースウェハ201の層−1は、3つの要素203を有するシリコンオンインシュレータウェハ202に対応する。一実施形態では、ウェハ202は、層状シリコン204−絶縁体(犠牲層)205−シリコン206基板を含む。一実施形態では、素子203は、その最も一般的な形態では、トランジスタ、相互接続、及び誘電体の層からなる「供給原料」である。さらに、一実施形態では、素子203は、本明細書で使用されるように、SOIウェハ202のシリコン層204を含むことができる。それは、それ自体に何らかの機能性を有していても有していなくてもよいが、他の素子203及び場合によっては追加の相互接続層及び誘電体層と一緒に組み立てられたときに、作動するASICを製造するために使用することができる。さらに、マスクコストが高いフロントエンドの高解像度デバイス層は、素子203の内部に存在することになる。これは、種々のASICデバイスの製造にわたる高価なマスク(高解像度デバイス層のための)のコストを減らすためである。
一実施形態では、要素203の幅は、数十ミリメートルの2Dダイ幅に対応する。一実施形態では、ストリート幅又は「スクライブ幅」は、数百ナノメートルから数十マイクロメートルの範囲であってもよい。一実施形態では、そのような幅は、要素203の境界207に対応する。
図2に示すソースウェハの各層、例えば、層−2・・・層−n(nは正の整数)は、層−1 201と同様に設定される。その結果、これらの層(単に「層−k」と呼ばれ、kは正の整数である)の各々は、本明細書では一般に要素201と呼ばれることがある。
図2に示されるように、ソースウェハの層は、織り合わせ方式(フリップ、フェイスアップ、フリップ、フェイスアップ・・・)で積層され、以下でより詳細に説明する3D−IC積層208を形成する。
さらに、図2は、B2F、F2B、及びB2Bを、例えば、シリコン貫通ビア(TSV)を使用して接続することができ、F2Fを、層間ビア(ILV)を使用して接続することができることを示す。このような特徴について、層−kウェハを含めて、さらに詳しく説明する。
一実施形態では、流体は、層(k)2次元の(2D)ダイアレイ(例えば、2Dダイアレイ102)と層(k−1)2Dダイアレイ(例えば、2Dダイアレイ102)との間の潤滑された相対運動を可能にするように展開され、流体は、層(k)及び層(k−1)2Dダイアレイの精密なオーバーレイを可能にする。一実施形態では、流体は、気体、液体、又はそれらの組み合わせである。一実施形態では、そのような組み合わせは、異種の気体及び液体部分、又は均一に混合された気体及び液体の部分を含む。
一実施形態では、第1の層2Dダイアレイは、任意の基板上にあり得るが、その後の2Dダイアレイ(ピックアンドプレースされ得る)は、図3A〜3Bに示されるように、下にある犠牲層を必要とする。その結果、一実施形態では、層−k 2Dダイは、最適なデバイス機能(例えば、完全空乏型(FD)−SOI及び部分空乏型(PD)−SOI)のために、下にある酸化物層を必要とし得る。これは、ピックアンドプレースのためのより深いレベルでの別の犠牲層を必要とするであろう。一実施形態では、これらは、Lapis Semiconductor(登録商標)を通して市販されている。
一実施形態では、2Dダイ幅は、数十マイクロメートル〜数十ミリメートルの範囲とすることができる。
次に、図3A〜3Bを参照すると、図3A〜3Bは、本発明の一実施形態による、2つの埋め込み層(例えば、シリコン酸化物で構成され得る絶縁体層及び犠牲層)を有する層−k SOIウェハの断面を示す。
図3Bに示すように、層−k SOIウェハ201の断面は、素子203が、トランジスタ301、相互接続部302、及び誘電体303から構成され得ることを示す。一実施形態では、素子203は、シリコン層304をさらに含む。さらに、上述のように、層―k2Dダイは、最適なデバイス性能のために、下にある酸化物層305を必要とし得る。
一実施形態では、図3Aに示すように、2Dダイの厚さは、数十ナノメートル〜数十マイクロメートルの範囲とすることができる。
さらに、一実施形態では、図3Aは、要素203の境界207を示す。
あるいは、一実施形態では、層−k 2Dダイは、図4A〜図4Bに示すように、下にある酸化物を必要としなくてもよい。図4A〜図4Bは、本発明の一実施形態による層−k SOIウェハの別の断面を示す図である。
このような実施形態において、犠牲層は、機械的安定性のために、標準的なPD−SOIウェハに見られるより深いレベルに居住する必要があるかもしれない。これらは、複数の供給元、例えば、ShinEtsu(登録商標)を通して商業的に入手可能である。
さらに、一実施形態では、犠牲酸化物(ピックアンドプレース用)は、図5A〜5Bに示されるように、標準的なPD−SOIウェハに使用されるのと同じ深さである。これらは、複数の供給源、例えばSoitec(登録商標)を介して商業的に入手可能である。
図5A〜図5Bは、本発明の一実施形態による層−k SOIウェハのさらなる断面を示す図である。
図5A〜5Bに示すように、一実施形態では、2Dダイの厚さは約100ナノメートル以下である。
3D集積回路(IC)のプロセス及び機械的設計概念に関する議論は、今や適切であると見なされる。
一実施形態では、一般的に適用可能なアセンブリシーケンスは、Sreenivasanら(WO2018/119451A1)(以下、「Sreenivasanら」と呼ぶ)に記載されているものと実質的に同じであり、その全体が参照により本明細書に組み込まれる。例えば、ステップは以下の通りである。即ち、1.エッチング及びカプセル化;2.バルクエッチプロセス(後のピックアンドプレースを容易にするため);3.2Dダイアレイピックアップ;4.製品基板への2Dダイアレイの整列;5.一時的な取り付け及び接着;6.製品ウェハが完全に組み立てられるまで、3〜5を繰り返す。
一実施形態では、3D−ICの組み立てシーケンスは、以下で論じるように、ステップ2、4、及び5に対するいくつかの修正を必要とすることがある。
後続のピックアンドプレースを容易にするためのバルクエッチングプロセスは、行われているスタッキングのタイプ(F2F vs F2B vs B2F vs B2B)を考慮するために何らかの修正を必要とする。B2F及びB2Bタイプの積層に関して、Sreenivasanらに記載されたバルクエッチプロセスは、層−kウェハをフリップする必要がないので、十分であろう。しかし、F2F及びF2Bタイプの積層アプローチでは、バルクエッチに加えて、ウェハフリッピングステップが起こる必要がある。さらに、F2F型スタッキングでは、面同士の接続のために封入層を選択的に除去するために剥離ステップが必要である。これは、使用されるカプセル化層の特定の性質に応じて、様々な方法で行うことができ、例えば、カプセル化層がAlから構成される場合、時限緩衝酸化物エッチングを使用することができる。あるいは、カプセル化層が化学蒸着(CVD)アモルファスカーボンから構成される場合、酸素プラズマをストリッピングに使用することができる。あるいは、カプセル化層が、CVDアモルファスカーボンの上にある複数の層、例えばAlから構成される場合、酸素プラズマ工程及び緩衝酸化物エッチングを順次行うことができる。一実施形態では、カプセル化層は、ピックアンドプレースプロセス中に使用されるエッチャントから、層(k)ウェハ及び層(k−1)ウェハの両方における2Dダイを保護する。一実施形態では、カプセル化層は、相補型金属酸化物半導体(CMOS)及びIII−V族半導体(例えば、窒化ガリウム、砒化ガリウム)などの既存の半導体製造技術と適合する。フリッピング及びバルク材料除去のための2つの異なる技術が、図6、7A〜7D、8及び9A〜9Dに関連して以下に説明される。
図6は、本発明の一実施形態による、反転及びバルク材料除去のためのバックグラインディングベースのアプローチのための方法のフローチャートである。図7A〜7Dは、本発明の一実施形態による、図6に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。
次に図6を図7A〜図7Dと共に参照すると、ステップ601では、図7Aに示すように封入層(図示せず)が剥離される。さらに、図7Aに例示されているように、アクセスホール701を使用して、エッチングプロセスを高速化することができる。一実施形態では、アクセスホール701は、フッ化水素酸などのエッチャントのために使用され、ウェハから2Dダイを解放する。一実施形態では、アクセスホール701は、シリコン貫通ビア(TSV)を可能にする導体を生成するために利用される。
ステップ602では、図7Bに示すように、層−kウェハ201を裏返し、レーザデボンディング接着剤703(市販)を介してガラスキャリアウェハ702に取り付ける。
ステップ603では、図7Cに示すように、層−kウェハ201のバックグラインディングが実行される。
ステップ604において、犠牲層205は、フッ化水素酸(HF)のような酸を用いてエッチングされる。
図8は、本発明の一実施形態による、反転及びバルク材料除去のための剥離ベースのアプローチのための方法のフローチャートである。図9A〜9Eは、本発明の一実施形態による、図8に記載されたステップを使用した反転及びバルク材料除去のための断面図を示す。
次に図8を図9A〜図9Dと共に参照すると、ステップ801では、図9A及び図9Bに示すようなピラミッドピラー(テザー)901を形成するような方法で、犠牲層205上で時限HFエッチングが実行される。これらのピラミッド状テザー901は、後述するように、ピックアンドプレースステップを容易にすることができる。さらに、図9Aに示すように、アクセスホール701を使用して、エッチングプロセスを高速化してもよい。
ステップ802では、図9Cに示すように、カプセル化層(図示せず)を剥離する。
ステップ803では、図9Dに示されるように、層−kウェハ201がフリップされる。
ステップ804において、反転された層−kウェハ201は、レーザデボンディング接着剤903(市販されている)を介してガラスキャリアウェハ902に取り付けられ、図9Eに示すように、シリコン及び犠牲層206、205が剥離される。
また、本発明の原理は、後述するように、ピックされた2Dダイアレイの歪み制御を製品基板に位置合わせして、提供する。
一実施形態では、Sreenivasanらで論じられている方法とは異なる、単一又は複数の2Dダイが同時に組み立てられているかどうかに基づいて、精密な位置合わせを達成することができる。
複数の2Dダイの場合、モアレ計量は、ピックアンドプレースされる個々の2Dダイではなく、スーパーストレートを参照する必要がある。これは、スーパーストレートの底面上にパターン化されるアライメントマークを必要とする。これらのマークは、スーパーストレートの絶対コーナー上にパターン化することができ、又は面積的に分布させることもできる。対応するマークが製品ウェハ上に必要であろう。2Dダイのある量の歪み制御は、熱作動を用いて実施することができる。加えて、熱作動は、追加された作動自由度のためだけでなく、ウェハ・チャック内で実施することができる。スーパーストレート材料が、計測に使用される光の波長(一般に見える又はIRである)に対して透明でない場合に、観測ウィドウをスーパーストレート内に作ることができる。あるいは、スーパーストレートは、市販されているSiC及び/又はサファイア(Al)のような透明材料から構築することができる。複数の2Dダイを含む精密位置合わせの議論は、図10及び11A〜11Bに関連して、以下に議論される。
図10は、本発明の一実施形態による、複数のパックされた2Dダイのオーバーレイ及び歪み制御のための方法1000のフローチャートである。図11A〜11Bは、本発明の一実施形態に従った、図10に記載されたステップを使用して、複数のパックされた2Dダイのオーバーレイ及び歪み制御を提供するための断面図を示す。
図10を参照すると、図11A〜11Bと関連して、ステップ1001において、ピックされた2Dダイ1101(2Dダイアレイ101、102、103などのピックされた2Dダイ)が製品ウェハ1102に近づけられるにつれて、図11Aに示されるように、コースアライメントが最初に行われる。図11Aは、アライメントマーク1104及び観察ウィンドウ1105を有するスーパーストレート1103を示す。
ステップ1002では、スーパーストレート1103のアライメントマーク1104及び観察ウィンドウ1105を、基板内のアライメントマーク1106と位置合わせするために、ファインアライメントが実行される。一実施形態では、熱アクチュエータ1107を介した熱作動を使用して、2Dダイのある量の歪み制御を実施することができる。加えて、熱作動は、追加された作動自由度のためだけでなく、ウェハ・チャック1108内に実装することができる。
単一の2Dダイの場合、上述の方法に加えて、モアレ計測は、図12A〜12Bに示されるように、層−k及び層(k−1)2Dダイ1101に埋め込まれたIR感応性マーク1201と、IR透過性スーパーストレートとを使用して行うことができる。図12A〜12Bは、本発明の一実施形態による、単一のピックされた2Dダイのオーバーレイ及び歪み制御を示す図である。
次に図13を参照すると、図13は、本発明の一実施形態に従った、シリコン貫通ビア(TSV)が、ピックアンドプレースされた2Dダイに既に存在するアクセスホールを通して作られることを示す。図13に示すように、層−k、層(k+1)及び層(k+2)は、層(k+1)が反転され、層(k+2)がフェイスアップされるように配置される。図13にさらに示されるように、シリコン貫通ビアは、フィールドアクセスホール701を通して製造される。
必要とされるTSVの密度は、スタティックランダムアクセスメモリ(SRAM)スタッキングのような用途では、10,000/mmにもなり得る。TSV密度のこのレベルでは、TSVの直径は、約20nm〜80nmとすることができる。これらのTSVの幾つか又は全ては、潜在的に、2Dダイ内に既に存在するアクセスホール701を介してルーティングすることができる。
ここで図14A〜14Cを参照すると、図14A〜14Cは、本発明の実施形態による一時的な取り付け及び結合のための例示的なプロセスを示す。
一実施形態では、一時的な取り付けの後に結合を行うことができる。一実施形態では、「スローランディング」アプローチに基づく動的空気クッションを使用することができる。このようなシステムは、従来、高精度の空気軸受ステージ、ハードディスクドライブシステムで使用されており、固体表面上のドロップスケーティングのために研究されてきた。このアプローチでは、UV硬化性接着剤の薄い層を、最初に、層−0の2Dダイの縁部に分配することができる。前記接着剤は、揮発性成分と不揮発性成分との組み合わせから構成することができ、限定的な場合には、接着剤は、不揮発性成分のみから構成される。UV硬化性接着剤及び/又は揮発性成分を含む液体は、減衰を提供し、それによって、層−0と層−1の2Dダイとの間の振動変位を実質的に最小限に抑える。スーパーストレートとして、層−1 2Dダイを層−0ダイの近くに取り付けると、圧力孔1401を通る空気の流れを開始することができる。これは、(不活性環境を得るために)空気又は窒素からなる軸受を2Dダイの周囲に作り出す。スーパーストレートz力と前記ベアリング流量の組合せノブは、「ソフトランディング」を制御するために使用することができる。同時に、スーパーストレート1103が押し下げられるにつれて、粗いアライメント補正を行うことができる。同時に、積層されている2Dダイの間に第2のエアクッション1402が作られる。この第2のエアクッション1402は、微細位置合わせ補正中に、2Dダイ間にさらなる潤滑を提供することができる。
さらに、このエアクッションからの空気の外向きの流れは、接着剤(縁部上にある)内の揮発性成分が、2Dダイのバルク内の金属−金属接点1403を汚染しないことを保証する。さらに、第2のエアクッション1402の流量は、z方向圧電アクチュエータを備えたスーパーストレート1103を用いて2Dダイのトポグラフィを変化させることによって制御することができる。このようなシステムは、以前に実証されている。2Dダイが接触すると、ブランケットUV露光1404を行って、縁部に配置された接着剤を硬化させることができる。2Dダイをさらに固定するために、金属接点1403の表面活性化を行うことができる。このようなプロセスは、銅、タングステン及びアルミニウムのような金属を含む金属対金属結合のために、以前に示されている。銅の表面活性化は、銅表面のアルゴンイオン処理を用いて達成することができる。一実施形態では、上記の空気軸受に使用される空気の全てが半導体グレードの清浄乾燥空気であると仮定される。あるいは、ベアリングが窒素を使用する場合、半導体グレードであり、清浄であり、乾燥していることも想定される。一実施形態では、表面活性化銅は、活性化プロセスの後、ボンディングステップ(ツール−ツールからの搬送、及びすべてのツールで処理されることを含む)まで、不活性環境に維持される。一実施形態では、真空孔1405を使用して、真空ベースのピックアップ機構を可能にしてもよい。
3D−ICシステムオンチップ(SoC)を実現するために必要な設計電子設計自動化(EDA)/コンピュータ支援設計(CAD)フローに関する議論が、現在適当と考えられている。典型的には、2D ASIC SoCは、性能/速度、面積及び電力仕様を満たすように最適に配置された数十億個のトランジスタから構成される。2D ASIC SoCを効率的に設計する、即ち、市場へのターンアラウンド時間(TAT)がより低い設計仕様を満たすために、設計プロセスを簡素化する市販のEDA CADツールが存在する。しかし、3D−IC ASIC設計のためのこのようなEDAツールは存在しない。
典型的なASIC SoCは、以下のセグメントに大別される。即ち、ロジック(CPU、GPU、モデムなど)、メモリ/キャッシュ(スタティックランダムアクセスメモリ(SRAM)、組み込みダイナミックランダムアクセスメモリ(eDRAM)など)、サードパーティのIPブロック、アナログIP、IOなど。3D SoCデザインは、フットプリントを削減するとともに、メモリアクセス時間及び待ち時間の削減、より高い帯域幅、Mbits/mmの観点からのより高い容量、より短い相互接続遅延によるより高い周波数、などという点でパフォーマンスを向上させながら、同じ機能のSoCを実装することを目的とする。
本明細書では「ナノ精度整列3D積層集積回路(N3SI)」とも呼ばれる典型的な3D SoCは、n個のベーストランジスタ層を含む。ここで、N>1である。一実施形態では、論理回路及びメモリ回路を備えたチップ上の特定用途向け集積回路(ASIC)システムが、サブ50nmオーバーレイピックアンドプレース法を用いて、3次元で設計及び製造され、これにより、論理回路及びメモリ回路の精密なオーバーレイが可能になる。各ベース層は、m個の金属層を有することができ、m≧1であり、ベース層ごとに変化することができる。3D積層内のベース層は、互いに対して以下の構成、即ち、フェイス・ツー・フェイス、フェイス・ツー・バック、バック・ツー・バックなどのいずれかに配置することができる。異なるベース層間の接続は、ベース層がフェイス・ツー・フェイス構成である場合は、層間ビア(ILV)を使用して、あるいは、フェイス・ツー・バック又はバック・ツー・バック構成である場合は、ナノスケールのシリコン貫通ビア(nano−TSV)を使用して、行うことができる。3D SoCは、以下の設計アプローチのいずれかの組み合わせを使用して設計することができる。即ち、3Dメモリ実装による2D論理実装、2Dメモリ実装による3D論理実装、3Dメモリ実装による3D論理実装など。3D論理実装は、ブロック/パーティションレベルで実行することもできるし、フラットレベルで実行することもできる。3Dブロックレベル論理実装では、パーティションは、2Dツールを使用して合成され、ルーティングされるが、異なるパーティションは、異なるベース層に配置される。このアプローチでは、最上位のSoCデザインのみに変更が必要であるが、3D SoCのブロックレベルデザインは2D SoCと変わらない。したがって、このアプローチは、実施がより容易である。フラットレベル3D論理実装では、パーティションは3Dでも実装され、即ち、パーティション内のセルは複数のベース層に配置される。3D論理実装及び3Dメモリ設計実装については、それぞれ以下で説明する。TSVとHFホールによる面積オーバヘッドも空間最適化アルゴリズムにより最適化できる。
次に、3D−IC論理実装のための電子設計自動化(EDA)設計方法を説明する。論理実装のための従来の2D ASIC EDAフローが、本発明の実施形態による図15に示されている。合成は、フロントエンド設計段階で実行され、バックエンド設計段階は、配置、プレCTS最適化、クロックツリー合成(CTS)、ルート及びポストルート最適化、サインオフ解析、及び設計検証を実行する。
3D−IC SoCのための本発明のEDA方法も、2D ASICフローに類似している。この方法は、既存の市販の2D EDAツールの大部分を、社内で開発されたソリューションのいくつかを使用することと共に再使用することを試みる。このようなフローは、ここでは「N3SI EDAフロー」と呼ぶ。以下のサブセクションでは、N3SI EDAフローの設計ステップについて説明する。
3D−IC SoCの合成
3D−IC SoCの合成は、市販の2D合成ツールを利用する。第1のパスでは、設計は、2D SoCで行われるのとまったく同じように合成される。配置が実行されると、3D配置認識合成が実行される。この合成パスでは、ツールは、正確な相互接続負荷及び遅延を得るために3D配置情報を有するので、より最適にセルを合成する。このプロセスフローも2D配置認識合成に類似しているが、この場合の配置情報は3次元である。
3D−IC SoCの設置
このセクションでは、ロジック/スタンダードセルの3D配置について説明する。本発明の方法では、設計ネットリストは、最初に、各モジュールネットリストが3D−IC SoC積層の異なる層上に配置される論理セルなどからなるように、複数のモジュールに分割される。次に、市販の2D EDAツールを使用して、3D積層の割り当てられた層内の各モジュールの2D配置が実行される。ネットリスト分割は、FM Min−Cut、Min−Flowなどの標準分割アルゴリズムを利用した社内ソリューションを使用して実行することができる。パーティショニングで生成されるモジュールは、モジュールペリフェラルに配置されるだけでなく、モジュール内の任意の場所に配置できる入出力ポートで構成される。その結果、社内で開発されたソフトウェアは、標準的なパーティショニングアルゴリズムを使用して、これらのポートの位置を生成する。複数のモジュールは、これらのポートを介して信号を転送する。これらのポートは、層間ビア(ILV)又はナノスケールのシリコン貫通ビア(nano−TSV)を介して接続できる。これらのポートの位置は、ILV及びTSVの熱的及び機械的安定性に基づいて制約される可能性がある。ポート位置が決定されると、タイミング・バジェット及びポート位置は、2D配置ツールに供給されて、各モジュールの配置を独立して実行し、同時に、全体的なタイミング及びパフォーマンスメトリックが測定されることを確実にする。合法的なセル配置を保証するために、TSV又はHFホールが通過するモジュール領域に配置又はルーティングブロックが形成される。即ち、社内で開発されたソフトウェアは、ILV/TSVロケーションでのDRC問題を回避する(設計ルールチェック)などのために、標準的なパーティショニングアルゴリズムを使用して、配置又はルーティングの妨害を生成する。
3D−IC SoC用CTS
3D SoCのためのクロックツリー合成(CTS)は、既存の2D EDA配置及び経路(P&R)ツールを使用して実行することができる。設計が分割され、複数のモジュールに配置されると、クロックツリーを構築し、各モジュールに対して別々に最適化することができる。しかしながら、3Dクロックツリーの課題は、3Dクロックツリーが構築され得る複数のウェハにわたるプロセス変動を考慮しながら、セットアップ、ホールド等の違反がないことを保証することである。この問題を解決又は未然に防ぐために、複数の方法があり得る。可能な解決策の1つは、同じ層上への発射及び捕捉フロップの配置を制約することであり、即ち、データ経路のための発射及び捕捉フロップは、同じ層上に配置される必要がある。これは、社内ネットリスト分割ツールによって達成することができる。別の解決策は、最悪の場合のプロセス変動シナリオに違反がないことを保証するために、高いマージンを含めることである。
3D−IC SoCのルート
3D−IC SoCのためのルート方法は、各モジュール内の2Dルーティングと、ILV及びnano−TSVを使用する複数のモジュールにわたるルーティングとを含む。抵抗値とキャパシタンス値は正確に決定でき、次に議論する。3D−ICルーティング方法は、2Dルーティングと同じままである。各モジュールのルーティングは、2D P&Rツールを別々に使用して実施することができる。デザインルールチェック(DRC)障害がないことを保証するために、ILV及びTSV相互接続が配置される領域にルーティング・ブロッケージが形成される。
3D−IC SoCの寄生抽出
3D SoCの寄生抽出のための設計方法論は2D ASICとは異なる。抵抗値とキャパシタンス値は、TSVとILVとによって大きく変わる可能性がある。市販のEDAツールは、3D抽出を実行することができない。しかしながら、本発明の実施形態は、既存の2D抽出器を利用する3D抽出フローを利用する。このフローでは、まず、各モジュール又は層のレイアウト情報がストリーミングアウトされる。次に、すべてのモジュールのレイアウト/ルートデータが、Virtuoso(登録商標)などのレイアウトエディタツールにストリーミングされる。ストリーミングイン中に、特定のモジュールのレイアウトを必要に応じて反転させて、3D SoC積層と同じように見せることができる。そして、このレイアウト上でエクストラクタを実行する。得られた抵抗値と静電容量値は、TSVとILVを考慮して3Dレイアウトを考慮しており、正確であると期待される。
次に、スタティックランダムアクセスメモリ(SRAM)の3D設計実装について説明する。典型的なSRAMは、ワード線及びビット線を有するビットセルアレイ、センスアンプ、列及び行デコーダ、タイマ回路、IO、他の周辺回路などを含む。SRAM設計要素を配置するために、バタフライ構成、片面構成などの複数のSRAM構成がある。これらの構成は、実装の複雑さ、アクセス時間、待ち時間などが異なる。図16は、本発明の一実施形態による2D片面SRAM構成を示す。SRAM構成は、SRAMセル1601のビット配列、ビット線1602、ワード線1603、IOセル1604、タイマ回路1605、センスアンプ1606、及びデコーダ1607などの基本的なメモリ設計要素を含む。
2D SRAM構成と同様に、3D SRAMは設計ニーズに応じて複数の構成で設計できる。3D eSRAMはまた、3D SRAM方法論と同様であり、同様のeDRAM構成を設計することができる。図17に示す可能な3D片面SRAM構成の1つは、独立型SRAMアレイの3D積層ダイである。図17は、本発明の一実施形態による、3D独立型SRAMダイ積層を示す。
この3D SRAM構成では、各層は自己持続可能な2D片面SRAMを実装する。データ入力、電力及び制御信号は、3D構成で積層された各2D SRAMに供給され、各層から出力データ信号が得られる。全ての層からのデータ出力を組み合わせることにより、完全な3D SRAM出力が得られる。例えば、図17に示すように、32ビットのDinデータバス信号は、4つの8ビットのデータバス信号に分割され、4つの層の各々に供給される。各層からのデータ出力Doutは8ビットで構成され、4つの層からのデータ出力Doutを組み合わせることで32ビットの出力信号となる。
他の可能な3D片面SRAM構成の1つは、本発明の一実施形態による図18に示す3Dオンリービットセル積層SRAMである。
このタイプの3D SRAM構成では、ベース層、即ち層−1は、ビット線1802及びワード線1803を有するビットセルアレイ1801と、IOセル1804、タイマ回路1805、センスアンプ1806及びデコーダ1807などの制御及び周辺回路要素とを含む。積層された3D層は、ビットセルアレイ、ビット線、及びワード線のみを含む。一実施形態では、3D SRAMのためのベース層内の制御回路は、2D構成と比較して、より多くの列デコーダを有することが期待される。片面SRAM設計と同様に、バタフライなどの他の2D SRAM構成も3Dで実現できる。
3Dオンリービットセルスタイルの積層型SRAMでは、設計仕様に従って設計するための複数の方法がある。構成の1つでは、各層は、2D SRAMと同じサイズのビットセルアレイを含む。3D SARMでは、ビット線及びワード線の長さ、帯域幅、フットプリントなどは2D SARMと同じままであるが、メモリ容量、即ちアレイビットセル密度はn倍になる。ここでnは層の数である。この設計構成に対するわずかな修正は、メモリ帯域幅を増大させるために、より多くのセンスアンプを追加することであろう。図19は、本発明の一実施形態による3Dオンリービットセル積層SRAMの垂直ビット線断面を示す。
図19に示すように、この例では、列デコーダを使用してビット線層を選択していない。しかしながら、最終的に時定数RCを減少させるより短いビット線長により、メモリアクセス時間は減少されることが期待される。ここで、Rは抵抗であり、Cはキャパシタンスである。特定の層のためのビット線を選択するために、デコーダをこの設計構成に追加することができる。
別の可能な3Dオンリービットセル積層SRAM設計構成は、メモリ容量、即ちアレイビットセル密度を同じに保ちながら、フットプリント/面積を低減する。この構成では、第1のベース層は、2D SRAM構成で使用される制御回路と同一の制御回路を含む。ビット配列のフットプリントは、典型的には、2D構成におけるSARM領域の70%であり、3D構成において減少させることができる。ビットセルアレイ領域は、n(n>1)をビットセルアレイ層の数として、nで割ることができる。この構成では、ビット線とワード線の長さが小さくなり、カラムデコーダが追加される。ただし、このタイプのメモリ構成では、メモリアクセス時間が短くなることが予測される。
本発明の原理を用いることにより、現在では、3次元の(3D)積層集積回路を製造することが可能である。一実施形態では、ピックアンドプレース戦略は、標準的な2次元の(2D)半導体製造技術を用いて製造されたデバイス層を有するソースウェハを積層するために使用される。ソースウェハは、順次又は並列に積層することができる。積層は、フェイス・ツー・フェイス、フェイス・ツー・バック、バック・ツー・フェイス又はバック・ツー・バックの方式であってもよい。フェイス・ツー・バック、バック・ツー・フェイス又はバック・ツー・バック方式で積層されるソースウェハは、シリコン貫通ビア(TSV)を使用して接続されてもよい。あるいは、フェイス・ツー・フェイス方式で積層されたソースウェハは、層間ビア(ILV)を使用して接続されてもよい。
本発明の様々な実施形態の説明は、例示の目的で提示されているが、網羅的であることも、開示された実施形態に限定されることも意図されていない。記載された実施形態の範囲及び精神から逸脱することなく、多くの修正及び変形が当業者には明らかであろう。本明細書で使用される用語は、実施形態の原理、市場で見出される技術に対する実際の適用又は技術的改善を最もよく説明するために、又は当業者が本明細書で開示される実施形態を理解することを可能にするために選択された。

Claims (30)

  1. 3次元の(3D)システムオンチップ(SoC)を製造する方法であって、
    層(k)2次元の(2D)ダイアレイを、層(k−1)ウェハの層(k−1)2Dダイアレイ上に組み立て、ここで、前記層(k−1)ウェハに2Dダイが配置され、前記kは1より大きい正の整数であり、前記2Dダイアレイは、単一の2Dダイと、2Dダイの連続するグループを形成する2Dダイの単一のアイランド、又は2Dダイの複数のアイランドを含み、
    前記層(k)2Dダイアレイと前記層(k−1)2Dダイアレイとの間の潤滑された相対運動を可能にする流体を配置し、ここで、前記流体は、前記層(k)及び層(k−1)2Dダイアレイの精密なオーバーレイを可能にする
    ことを特徴とする方法。
  2. 前記アセンブリが、層(k)ウェハの各2Dダイと前記層(k−1)ウェハの対応する2Dダイとの間の、サブ100nmオーバーレイ、サブ50nmオーバーレイ、サブ30nmオーバーレイ、サブ20nmオーバーレイ、サブ10nmオーバーレイ、及びサブ5nmオーバーレイのうちの1つを達成するように実行されることを特徴とする請求項1に記載の方法。
  3. 前記流体が、気体、液体、及びそれらの組み合わせのうちの1つを含み、前記組み合わせが、異種の気体及び液体部分、又は均質に混合された気体及び液体の一部を含むことを特徴とする請求項1に記載の方法。
  4. 前記2Dダイは、前記層(k−1)ウェハから前記2Dダイを解放するためのエッチャントのためのアクセスホールを含むことを特徴とする請求項1に記載の方法。
  5. さらに、前記3D SoC内でシリコン貫通ビアを可能にする導体を生成するために、前記アクセスホールを利用することを特徴とする請求項4に記載の方法。
  6. 前記SoCが、特定用途向け集積回路(ASIC)システムを含み、前記ASICシステムが、論理回路及びメモリ回路の精密なオーバーレイを可能にするピックアンドプレース法を用いて、3次元(3D)で設計及び製造された前記論理回路及びメモリ回路を含むことを特徴とする請求項1に記載の方法。
  7. 前記ASICシステムが、さらに、n個のベース層を含み、ここで、前記nは1より大きく、前記n個のベース層の1つ以上は、フェイス・ツー・フェイス、フェイス・ツー・バック、バック・ツー・バックのうち1つ以上に配置されることを特徴とする請求項6に記載の方法。
  8. 前記ASICシステムが、3次元の(3D)メモリ実装を伴う2次元の(2D)論理実装、2Dメモリ実装を伴う3D論理実装、及び3Dメモリ実装を伴う3D論理実装のうちのいずれかを使用して設計されることを特徴とする請求項6に記載の方法。
  9. 前記ASICシステムが、3Dスタティックランダムアクセスメモリ(SRAM)構成、即ち、3Dスタンドアロン積層SRAM及び3Dオンリービットセル積層SRAM、のうちの1以上において使用されることを特徴とする請求項6に記載の方法。
  10. 3次元の(3D)システムオンチップ(SoC)を製造する方法であって、
    層(k)2次元の(2D)ダイアレイを、層(k−1)ウェハの層(k−1)2Dダイアレイ上に組み立て、ここで、前記層(k−1)ウェハに2Dダイが配置され、前記kは1より大きい正の整数であり、前記2Dダイアレイは、単一の2Dダイ、2Dダイの連続したグループを形成する2Dダイの単一のアイランド、又は2Dダイの複数のアイランドを含み、
    層(k)ウェハ及び前記層(k−1)ウェハのそれぞれの2Dダイをピックアンドプレースプロセス中に使用されるエッチャントから保護するためのカプセル化層を提供する
    ことを特徴とする方法。
  11. 前記カプセル化層が、相補型金属酸化膜半導体(CMOS)及び/又はIII−V半導体と適合性であることを特徴とする請求項10に記載の方法。
  12. 前記アセンブリが、前記層(k)ウェハの各2Dダイと前記層(k−1)ウェハの対応する2Dダイとの間の、サブ100nmオーバーレイ、サブ50nmオーバーレイ、サブ30nmオーバーレイ、サブ20nmオーバーレイ、サブ10nmオーバーレイ、及びサブ5nmオーバーレイのうちの1つを達成するように実行されることを特徴とする請求項10に記載の方法。
  13. 前記2Dダイは、前記層(k−1)ウェハから前記2Dダイを解放するためのエッチャントのためのアクセスホールを含むことを特徴とする請求項10に記載の方法。
  14. さらに、前記3D SoC内でシリコン貫通ビアを可能にする導体を生成するために、前記アクセスホールを利用することを特徴とする請求項13に記載の方法。
  15. 前記SoCが、特定用途向け集積回路(ASIC)システムを含み、前記ASICシステムが、論理回路及びメモリ回路の精密なオーバーレイを可能にする前記ピックアンドプレースプロセスを使用して、3次元(3D)で設計及び製造された前記論理回路及びメモリ回路を含むことを特徴とする請求項10に記載の方法。
  16. 前記ASICシステムが、n個のベース層をさらに含み、ここで、前記nは1より大きく、前記n個のベース層のうちの1つ以上は、フェイス・ツー・フェイス、フェイス・ツー・バック及びバック・ツー・バックのうちの1つ以上に配置されることを特徴とする請求項15に記載の方法。
  17. 前記ASICシステムが、3次元の(3D)メモリ実装を伴う2次元の(2D)論理実装、2Dメモリ実装を伴う3D論理実装、及び3Dメモリ実装を伴う3D論理実装のうちのいずれかを使用して設計されることを特徴とする請求項15に記載の方法。
  18. 前記ASICシステムが、3Dスタティックランダムアクセスメモリ(SRAM)構成、即ち、3Dスタンドアロン積層SRAM及び3Dオンリービットセル積層SRAMのうちの1以上において使用されることを特徴とする請求項15に記載の方法。
  19. 3次元の(3D)システムオンチップ(SoC)を製造するための方法であって、
    層(k)2次元の(2D)ダイアレイを、層(k−1)ウェハの層(k−1)2Dダイアレイ上に組み立て、ここで、前記層(k−1)ウェハに2Dダイが配置され、前記kは1より大きい正の整数であり、前記2Dダイアレイは、単一の2Dダイ、2Dダイの連続したグループを形成する2Dダイの単一のアイランド、又は2Dダイの複数のアイランドを含み、前記2Dダイは10マイクロメートル未満の厚さを有する
    ことを特徴とする方法。
  20. 前記アセンブリが、層(k)ウェハの各2Dダイと前記層(k−1)ウェハの対応する2Dダイとの間の、サブ100nmオーバーレイ、サブ50nmオーバーレイ、サブ30nmオーバーレイ、サブ20nmオーバーレイ、サブ10nmオーバーレイ、及びサブ5nmオーバーレイのうちの1つを達成するように実行されることを特徴とする請求項19に記載の方法。
  21. 前記2Dダイは、前記層(k−1)ウェハから前記2Dダイを解放するためのエッチャントのためのアクセスホールを含むことを特徴とする請求項19に記載の方法。
  22. さらに、前記3D SoC内でシリコン貫通ビアを可能にする導体を生成するために、前記アクセスホールを利用することを特徴とする請求項21に記載の方法。
  23. 前記SoCが、特定用途向け集積回路(ASIC)システムを含み、前記ASICシステムが、論理回路及びメモリ回路の精密なオーバーレイを可能にするピックアンドプレース法を用いて、3次元(3D)で設計及び製造された前記論理回路及びメモリ回路を含むことを特徴とする請求項19に記載の方法。
  24. 前記ASICシステムが、n個のベース層をさらに含み、ここで、前記nは1より大きく、前記n個のベース層のうちの1つ以上は、フェイス・ツー・フェイス、フェイス・ツー・バック及びバック・ツー・バックのうちの1つ以上に配置されることを特徴とする請求項23に記載の方法。
  25. 前記ASICシステムが、3次元の(3D)モリ実装を伴う2次元の(2D)論理実装、2Dメモリ実装を伴う3D論理実装、及び3Dメモリ実装を伴う3D論理実装のうちのいずれかを使用して設計されることを特徴とする請求項23に記載の方法。
  26. 前記ASICシステムが、3Dスタティックランダムアクセスメモリ(SRAM)構成、即ち、3Dスタンドアロン積層SRAM及び3Dオンリービットセル積層SRAMのうちの1つ以上において使用されることを特徴とする請求項23に記載の方法。
  27. 3次元の(3D)特定用途向け集積回路(ASIC)システムオンチップ(SoC)論理回路を設計するための電子設計自動化(EDA)方法論であって、
    2次元の(2D)EDAソリューションと統合されたソフトウェアの組み合わせを含み、ここで、前記ソフトウェアは、3D設計ネットリストを2Dモジュールに分割するネットリスト分割アルゴリズムを含み、前記2D EDAソリューションは、合成、3D配置認識合成、配置、クロックツリー合成(CTS)、ルーティング、設計検証、及びサインオフ解析のうちの1つ以上を実行するために使用される
    ことを特徴とするEDA方法論。
  28. 前記アルゴリズムは、ポートの位置を生成することと、配置又はルーティングの妨害を生成することとのうちの1つ以上を実行することを特徴とする請求項27に記載のEDA方法論。
  29. 前記ポートの前記位置は、層間ビア(ILV)及びシリコン貫通ビア(TSV)の熱的及び機械的安定性に基づいて制約されることを特徴とする請求項28に記載のEDA方法論。
  30. さらに、
    レイアウト又はルートデータをレイアウトエディタツールにストリーミングし、ここで、特定のモジュールのレイアウトは、前記特定のモジュールの前記レイアウトを3D SoC積層と同一に見えるようにすることに応答してフリップされ、
    前記レイアウトを考慮するとともに、ILV及びTSVを考慮して、抵抗値及びキャパシタンス値を取得する
    ことを特徴とする請求項27に記載のEDA方法論。
JP2020565259A 2017-12-22 2018-12-21 ナノスケール整列3次元積層集積回路 Pending JP2021516453A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023061381A JP2023082186A (ja) 2017-12-22 2023-04-05 ナノスケール整列3次元積層集積回路

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762609891P 2017-12-22 2017-12-22
US62/609,891 2017-12-22
PCT/US2018/067322 WO2019126769A1 (en) 2017-12-22 2018-12-21 Nanoscale-aligned three-dimensional stacked integrated circuit

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023061381A Division JP2023082186A (ja) 2017-12-22 2023-04-05 ナノスケール整列3次元積層集積回路

Publications (1)

Publication Number Publication Date
JP2021516453A true JP2021516453A (ja) 2021-07-01

Family

ID=66993924

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020565259A Pending JP2021516453A (ja) 2017-12-22 2018-12-21 ナノスケール整列3次元積層集積回路
JP2023061381A Pending JP2023082186A (ja) 2017-12-22 2023-04-05 ナノスケール整列3次元積層集積回路

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023061381A Pending JP2023082186A (ja) 2017-12-22 2023-04-05 ナノスケール整列3次元積層集積回路

Country Status (6)

Country Link
US (2) US11600525B2 (ja)
EP (1) EP3729499A4 (ja)
JP (2) JP2021516453A (ja)
KR (1) KR20200112851A (ja)
CN (1) CN111758156A (ja)
WO (1) WO2019126769A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3993021A1 (en) * 2020-11-03 2022-05-04 Infineon Technologies AG Method of manufacturing a bonded substrate stack
CN112364598A (zh) * 2020-11-10 2021-02-12 西安紫光国芯半导体有限公司 三维芯片、三维芯片集成验证方法、验证装置、电子设备
US11573262B2 (en) * 2020-12-31 2023-02-07 Advantest Test Solutions, Inc. Multi-input multi-zone thermal control for device testing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041502A (ja) * 2004-06-24 2006-02-09 Semiconductor Energy Lab Co Ltd 薄膜集積回路の作製方法
US20100248424A1 (en) * 2009-03-27 2010-09-30 Intellectual Business Machines Corporation Self-Aligned Chip Stacking
WO2014046052A1 (ja) * 2012-09-23 2014-03-27 国立大学法人東北大学 チップ支持基板、チップ支持方法、三次元集積回路、アセンブリ装置及び三次元集積回路の製造方法
WO2014136241A1 (ja) * 2013-03-07 2014-09-12 東北マイクロテック株式会社 積層体及びその製造方法
JP2016502287A (ja) * 2012-12-23 2016-01-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッドAdvanced Micro Devices Incorporated 分割されたマルチホップネットワークを有するダイ積層デバイス
JP2018511928A (ja) * 2015-02-09 2018-04-26 クアルコム,インコーポレイテッド 3d集積回路のための重畳ブロックおよび複製されたピンを用いた知的財産ブロック設計

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006124597A2 (en) * 2005-05-12 2006-11-23 Foster Ron B Infinitely stackable interconnect device and method
JP2007216895A (ja) 2006-02-17 2007-08-30 Bridgestone Corp タイヤトレッドゴムの挙動シミュレーション装置及びタイヤトレッドゴムの試験方法
US9164969B1 (en) * 2009-09-29 2015-10-20 Cadence Design Systems, Inc. Method and system for implementing a stream reader for EDA tools
US20130075892A1 (en) * 2011-09-27 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Three Dimensional Integrated Circuit Fabrication
US9640531B1 (en) * 2014-01-28 2017-05-02 Monolithic 3D Inc. Semiconductor device, structure and methods
CA2905365C (en) 2013-03-15 2021-03-23 Banner Life Sciences Llc Silk-based capsules
US9190392B1 (en) * 2013-05-20 2015-11-17 Sandia Corporation Three-dimensional stacked structured ASIC devices and methods of fabrication thereof
US9208854B2 (en) * 2013-12-06 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional dual-port bit cell and method of assembling same
TW201524288A (zh) * 2013-12-11 2015-06-16 Microcosm Technology Co Ltd 塗佈設備及塗佈方法
US9508623B2 (en) * 2014-06-08 2016-11-29 UTAC Headquarters Pte. Ltd. Semiconductor packages and methods of packaging semiconductor devices
US10381328B2 (en) * 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
KR20230170131A (ko) 2016-12-23 2023-12-18 더 보드 오브 리젠츠 오브 더 유니버시티 오브 텍사스 시스템 무아레 기반 측정법과 진공 기반 취출 및 거치법을 사용하는 콤팩트 디바이스들 상의 구성소자들의 혼종 집적

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041502A (ja) * 2004-06-24 2006-02-09 Semiconductor Energy Lab Co Ltd 薄膜集積回路の作製方法
US20100248424A1 (en) * 2009-03-27 2010-09-30 Intellectual Business Machines Corporation Self-Aligned Chip Stacking
WO2014046052A1 (ja) * 2012-09-23 2014-03-27 国立大学法人東北大学 チップ支持基板、チップ支持方法、三次元集積回路、アセンブリ装置及び三次元集積回路の製造方法
JP2016502287A (ja) * 2012-12-23 2016-01-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッドAdvanced Micro Devices Incorporated 分割されたマルチホップネットワークを有するダイ積層デバイス
WO2014136241A1 (ja) * 2013-03-07 2014-09-12 東北マイクロテック株式会社 積層体及びその製造方法
JP2018511928A (ja) * 2015-02-09 2018-04-26 クアルコム,インコーポレイテッド 3d集積回路のための重畳ブロックおよび複製されたピンを用いた知的財産ブロック設計

Also Published As

Publication number Publication date
EP3729499A4 (en) 2021-12-15
KR20200112851A (ko) 2020-10-05
US20230116581A1 (en) 2023-04-13
US11600525B2 (en) 2023-03-07
CN111758156A (zh) 2020-10-09
EP3729499A1 (en) 2020-10-28
WO2019126769A1 (en) 2019-06-27
US20210366771A1 (en) 2021-11-25
JP2023082186A (ja) 2023-06-13

Similar Documents

Publication Publication Date Title
US20230116581A1 (en) Nanoscale-aligned three-dimensional stacked integrated circuit
US10796958B2 (en) 3D integration method using SOI substrates and structures produced thereby
US8664081B2 (en) Method for fabricating 3D integrated circuit device using interface wafer as permanent carrier
US8129256B2 (en) 3D integrated circuit device fabrication with precisely controllable substrate removal
US8492869B2 (en) 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US20150054149A1 (en) Novel 3D Integration Method Using SOI Substrates And Structures Produced Thereby
KR102610850B1 (ko) 무아레 기반 측정법과 진공 기반 취출 및 거치법을 사용하는 콤팩트 디바이스들 상의 구성소자들의 혼종 집적
TWI791283B (zh) 接合方法
US20230005905A1 (en) Facilitating Alignment of Stacked Chiplets
VANI et al. A Novel Low Cost Ultra-Thin chip Fabrication Technology

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220628

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221122

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20221206