JP2021090061A - 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法 - Google Patents

窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法 Download PDF

Info

Publication number
JP2021090061A
JP2021090061A JP2021012437A JP2021012437A JP2021090061A JP 2021090061 A JP2021090061 A JP 2021090061A JP 2021012437 A JP2021012437 A JP 2021012437A JP 2021012437 A JP2021012437 A JP 2021012437A JP 2021090061 A JP2021090061 A JP 2021090061A
Authority
JP
Japan
Prior art keywords
acid
ether
nitrate
doped polysilicon
glycol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021012437A
Other languages
English (en)
Inventor
スティーブン ビロドー,
Bilodeau Steven
スティーブン ビロドー,
エマニュエル アイ. クーパー,
i cooper Emanuel
エマニュエル アイ. クーパー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2021090061A publication Critical patent/JP2021090061A/ja
Priority to JP2023060438A priority Critical patent/JP2023089051A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/041Making n- or p-doped regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Weting (AREA)

Abstract

【課題】窒化ケイ素と比べてp型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)を、該材料を上面に含むマイクロ電子デバイスから選択的に除去するための除去用組成物及び方法を提供する。【解決手段】方法は、p型ドープポリシリコンと窒化ケイ素を含む基板を除去用組成物と接触させることを含む。除去用組成物は、窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去するものであり、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源又は少なくとも1種類の還元剤及び任意選択的に少なくとも1種類の溶媒を含む。【選択図】なし

Description

本発明は、窒化ケイ素と比べてp型ドープポリシリコンを、これらを含む基板から選択的に除去するための組成物及び方法に関する。基板は好ましくは、高誘電率/メタルゲート集積化スキーム(integration scheme)を備えたものである。
慣用的な半導体デバイスは、各々が少なくとも1つのソース、ドレイン及びゲート領域を備えた大量数の金属酸化膜半導体電界効果トランジスタ(「MOSFET」)を含むものであり得る。相補型金属−酸化膜−半導体(「CMOS」)には相補的で対称的なp型MOSFETとn型MOSFETのペアが使用され、チップ上において高密の論理関数が可能になっている。
MOS又はCMOSトランジスタのゲート領域は一般的に、半導体材料に重層されているか、又は半導体材料の上面に存在している酸化物の絶縁体/誘電体(例えば、SiO)上又は上面に配置されたゲート材料(例えば、ポリシリコン)で構成されており、この組合せは一般的に「ゲートスタック」と称される。メタルゲート及び金属酸化物半導体に「金属」とあるにもかかわらず、ポリシリコンは慣用的なゲート製作において最も一般的なゲート材料である。ポリシリコンは、成膜の容易性、後続の製造工程(例えば、アニーリング)での極めて高温(900−1000℃超)に対する許容度、及びセルフアラインゲートの形成能のため、ゲート電極の製作において好ましい材料である。金属でのゲート製作では多くの問題に悩まされるが、ポリシリコンではこのような問題は回避される。
先行技術において選択されるゲート誘電体は、長い間、二酸化ケイ素である。トランジスタのサイズが縮小されるにつれて、ゲート容量を改善するために二酸化ケイ素誘電体の厚さもまた薄くなってきており、それにより電流及びデバイス性能が向上している。二酸化ケイ素ゲート厚をおよそ2nm未満に薄くすると、トンネリングのためにリーク電流の劇的な増大がもたらされ、これにより、非常に大きな電力消費及びデバイス信頼性の低下がもたらされる。その解決策は、二酸化ケイ素ゲート誘電体を、リーク効果が付随することなくゲート容量の増大を可能にする高誘電率材料で置き換えることであった。しかしながら、二酸化ケイ素ゲート誘電体を別の材料で置き換えることは、製造プロセスを複雑にすることがわかっている。
標準的なポリシリコンゲートと高誘電率ゲート誘電体間の適合性の懸念のため、高誘電率ゲート誘電体の方が、より好都合にメタルゲート電極(例えば、p型ゲートではTiN;n型ゲートではTiAlN)とペアにされる。しかしながら、メタルゲートは、半導体製作においていくつかのさらなる課題、例えば、シリコン内への分散傾向及び/又は高温熱アニーリング中におけるミッドギャップ仕事関数の方への移動傾向を提示する。半導体ウェハ内に組み込まれる金属が高温に曝露されないことは重要である。提案された解決策の1つは、高温活性化アニール(一又は複数)後に金属電極を成膜するゲートラストプロセスストラテジー(「リプレースメントゲート」、「ダミーポリゲート」又は「コールドフロープロセス」としても知られている)の利用である。ゲートラストプロセスでは、ポリシリコンダミーゲートを従来型セルフアライン法でパターン形成する。残りの加工工程が終了したら、ダミーゲートを除去して陥凹部を露出させ、金属を所定の位置に堆積させる。陥凹部には金属が、PVD(「物理気相蒸着」)、CVD(「化学気相蒸着」)又はALD(「原子層堆積」)を用いて充填され得る。しかしながら、従来法によるダミーゲートの除去は、ゲートラストプロセスに特有の困難さを提示する。
従来の半導体エッチング法(湿式及び乾式)は選択的でなく、犠牲ポリシリコンダミーゲートだけでなく、他の露出膜、例えば窒化ケイ素もまた同様に侵食する。強塩基は二酸化ケイ素を侵食し、酸化体はTiNを侵食し、多くのHF含有(又は発生)試薬はすべての層を侵食する。
さらに、p型及びn型のポリシリコントランジスタの中でも、p型ドープポリシリコンは、エッチングが非常に困難である。n型ポリシリコンの除去には水酸化アンモニウムエッチングが一般的に使用される。p型ポリシリコンの除去は慣用的には、テトラメチルアンモニウムヒドロキシドを用いた湿式エッチングによって行なわれるが、p型、例えばホウ素ドーピングのため、エッチング速度は遅い傾向にある。さらに、硝酸/HF/水組成物によって非ドープポリシリコンをエッチングすることができるが、硝酸濃度が高い場合のみであることが知られている。したがって、高い窒素含有量のため、硝酸(高濃度)/HF/水を含む溶液は好都合でない。さらに、このような硝酸(高濃度)/HF/水組成物が、窒化ケイ素に対する該組成物の適合性がずっと低いp型ドープポリシリコン、例えばホウ素ドープポリシリコンのエッチング時にどれだけ効果的であるかは不明である。
その結果、当該技術分野では、ダミーポリ−ゲート手法を伴う半導体デバイス、具体的にはp型ドープポリシリコンデバイスの製作方法の改善が追求され続けている。
本発明の実施態様は一般的に、窒化ケイ素と比べてp型ドープポリシリコンを、これらを含む基板から除去するための組成物及び方法に関するものである。基板は好ましくは、高誘電率/メタルゲート集積化スキームを備えたものである。
一態様において、窒化ケイ素と比べてp型ドープポリシリコンを、これらを含む基板から選択的に除去する方法であって、p型ドープポリシリコンと窒化ケイ素を含む基板を基板から除去用組成物と接触させることを含み、該除去用組成物が窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去するものである方法を記載する。一実施態様では、p型ドープポリシリコンがホウ素ドープポリシリコンである。
別の態様では、窒化ケイ素と比べてp型ドープポリシリコンを、これらを含む基板から選択的に除去する方法であって、p型ドープポリシリコンと窒化ケイ素を含む基板を除去用組成物と接触させることを含み、該除去用組成物が、窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去するものであり、該除去用組成物が、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源又は少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含むものである方法を記載する。一実施態様では、p型ドープポリシリコンがホウ素ドープポリシリコンである。
本発明の他の態様、特徴及び利点は、以下の開示及び添付の特許請求の範囲からさらに充分に明らかとなろう。
本発明の実施態様は一般的に、窒化ケイ素と比べてp型ドープポリシリコンを、これらを含む基板から選択的に除去するための組成物及び方法に関するものである。より詳しくは、本発明の実施態様は一般的に、窒化ケイ素と比べてp型ドープポリシリコン、例えばホウ素ドープポリシリコンを、これらを含む基板から除去するための組成物及び湿式ベースの方法であって、該基板上に存在している他のゲートスタック材料は実質的に除去しない組成物及び方法に関するものである。
参照しやすいように、「マイクロ電子デバイス」は、半導体基板、フラットパネルディスプレイ、相変化メモリデバイス、ソーラーパネル並びにマイクロエレクトロニクス、集積回路、エネルギー集積又はコンピュータチップの用途における使用のために製造された他の製品、例えば、太陽電池デバイス、太陽光発電及び微小電気機械システム(MEMS)に相当するものである。用語「マイクロ電子デバイス」、「マイクロ電子基板」及び「マイクロ電子デバイス構造」は、なんら限定を意図するものではなく、最終的にマイクロ電子デバイス又はマイクロ電子アセンブリとなる任意の基板又は構造を含むことを理解されたい。マイクロ電子デバイスはパターン形成されたデバイス、ブランケット付き(blanketed)デバイス、コントロールデバイス及び/又はテスト用デバイスであり得る。
本明細書において定義する場合、「メタルゲート材料」は、半導体基板のミッドギャップに相当するフェルミレベルを有する材料に相当するもの、例えば、Ti、Ta、W、Mo、Ru、Al、La、窒化チタン、窒化タンタル、炭化タンタル、炭化チタン、窒化モリブデン、窒化タングステン、酸化ルテニウム(IV)、珪窒化タンタル(tantalum silicon nitride)、珪窒化チタン(titanium silicon nitride)、炭窒化タンタル(tantalum carbon nitride)、炭窒化チタン(titanium carbon nitride)、チタンアルミナイド、タンタルアルミナイド、窒化チタンアルミニウム、窒化タンタルアルミニウム、酸化ランタン又はその組合せである。メタルゲート材料として開示した化合物はいろいろな化学量論を有し得ることは認識されよう。したがって、窒化チタンは本明細書においてTiNと示し、窒化タンタルは本明細書においてTaNと示すなどとしている。
本明細書において定義する場合、「高誘電率」材料は:酸化ハフニウム(例えば、HfO);酸化ジルコニウム(例えば、ZrO);オキシケイ酸ハフニウム;ケイ酸ハフニウム;ケイ酸ジルコニウム;ケイ酸チタン;酸化アルミニウム;そのランタンドープ類似体(例えば、LaAlO);ケイ酸アルミニウム;タンタレート(例えば、Ta);ハフニウムとケイ素の酸化物及び窒化物(例えば、HfSiON);そのランタンドープ類似体(例えば、HfSiON(La));チタン酸バリウムストロンチウム(BST);ハフニウムとアルミニウムの酸化物(例えば、HfAl);チタン酸ストロンチウム(SrTiO);チタン酸バリウム(BaTiO);並びにその組合せに相当するものである。
本明細書において定義する場合、「ゲートスタック材料」は:マイクロ電子デバイス上のタンタル、窒化タンタル、窒化チタン、チタン、ニッケル、コバルト、タングステン、窒化タングステン、及び前述の金属のケイ化物;低誘電率物質;ポリシリコン;ポリ−SiGe;酸化ケイ素;窒化ケイ素;BEOL層;高誘電率リプレースメントゲート;酸化ハフニウム;オキシケイ酸ハフニウム;酸化ジルコニウム;ランタニド元素の酸化物;チタネート;その窒素ドープ類似体;ルテニウム;イリジウム;カドミウム;鉛;セレン;銀;MoTa;並びにその組合せ及び塩に相当するものである。
本明細書において定義する場合、「アミン」種は、少なくとも1種類の第1級アミン、第2級アミン、第3級アミン及びアミン-N-オキシドを含むが、アンモニウム含有塩は、本定義による「アミン」とみなさないものとする。アミンの式はNRで表され得、式中、R、R及びRは互いに同じであっても異なっていてもよく、水素、直鎖又は分枝のC−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C−C10アリール(例えば、ベンジル)、直鎖又は分枝のC−Cアルカノール(例えば、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、ヘキサノール)、及びその組合せからなる群より選択される。本明細書において定義する場合、「アンモニウム含有塩」にはカチオン[NRが包含され、式中、R、R、R及びRは互いに同じであるか、又は異なっており、水素、C−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)、C−Cアルカノール(例えば、メトキシ、エトキシ、プロポキシ、ブトキシ、ペントキシ、ヘキソキシ)及びC−C10アリール(例えば、ベンジル)からなる群より選択される。
本明細書で用いる場合、「約」は、記載の値の±5%に相当するものであることを意図する。
「実質的にない」とは、本明細書では、2wt.%未満、好ましくは1wt.%未満、より好ましくは0.5wt.%未満、さらにより好ましくは0.1wt.%未満、最も好ましくは0wt.%であることと定義する。
本明細書で用いる場合、「窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去する」とは、約2:1−約1000:1、好ましくは約10:1−約300:1、最も好ましくは約50:1−約200:1というエッチング速度による選択性に相当し、ここで、該比率は時間の関数としての厚さに基づいたものである。換言すると、p型ドープポリシリコンのエッチング速度が2nm/分−1(又は1000nm/分−1まで)である場合、窒化ケイ素のエッチング速度は最大で1nm/分−1である。
本明細書で用いる場合、用語「除去する」とは、除去用組成物を用いる基板からのp型ドープ、例えばホウ素ドープポリシリコンの選択的除去に相当する。p型ドープポリシリコン、例えばホウ素ドープポリシリコンは除去用組成物に溶解するか、又は別の様式で可溶化されるもの、好ましくは溶解するものであることは認識されよう。さらに、当業者には、該組成物に、溶解又は別の様式で可溶化された無視できる量の窒化ケイ素(基板由来)が含まれている場合があり得ることが認識されよう。
本明細書で用いる場合、「フッ化物」種は、限定されないが、フッ化物イオン(F)及びHF 又はこれらを含む塩を含む種に相当するものである。フッ化物種は、フッ化物種として含まれていてもよく、インサイチュで生成するものであってもよいことを認識されたい。
本明細書で用いる場合、「ナイトレート」種は、限定されないが、硝酸及びその塩、好ましくは硝酸アンモニウム、又はそのエステルを含む種に相当するものである。
本明細書で用いる場合、「窒化ケイ素」及び「Si」は、純粋な窒化ケイ素(Si)並びに結晶構造内に水素、炭素及び/又は酸素不純物を含む純粋でない窒化ケイ素に相当するものである。
本明細書で用いる場合、「ポリシリコン」又は多結晶Si又はポリ−Siは、多数の小さなシリコン結晶からなる多結晶形態のシリコンであると当業者によって理解されているものである。これは、典型的には低圧化学気相蒸着(LPCVD)を用いて成膜され、多くの場合、ドーピングされたn型ポリシリコン又はp型ポリシリコンである。ドーピングの程度は当業者には容易に理解されるように、軽度のドーピング(例えば、1013/cm−3−1018/cm−3の範囲)から重度のドーピング(例えば、1018/cm−3より多く)まで、さまざまであり得る。p型ドープ材料の例としては、周期表の第IIIA族のドーパント種、例えばホウ素、アルミニウム、ガリウム及び/又はインジウムがドーピングされたポリシリコンが挙げられる。n型ドープ材料は、例えば、周期表の第IV族のドーパント種(ケイ素、ゲルマニウム若しくはスズ)又は第V族のドーパント種(リン、ヒ素、アンチモン若しくはビスマス)がドーピングされたポリシリコンであり得る。ダミーポリシリコンは、得られるp型ドープ及び/又はn型ドープ材料が選択的に除去され得るようなp型ドープ及び/又はn型ドープゲート材料が得られるようにドーピングされ得る。
本発明の組成物は、本明細書において以下にさらに充分に説明するように、多種多様な具体的な配合物に具体化され得る。
かかるすべての組成物において、組成物の具体的な成分が、下限のゼロを含む重量パーセンテージ範囲に関して論考されている場合、かかる成分は該組成物の種々の具体的な実施態様に存在していても存在していなくてもよいこと、及びかかる成分が存在している場合、該成分は、かかる成分が使用されている組成物の総重量に対して0.00001重量パーセントという低い濃度で存在していてもよいことは理解されよう。
一態様において、窒化ケイ素と比べてp型ドープポリシリコンを、これらを含む基板から選択的に除去する方法であって、p型ドープポリシリコンと窒化ケイ素を含む基板を除去用組成物と接触させることを含み、該除去用組成物が、窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去するものである方法を記載する。好ましくは、該組成物は、基板上に存在している他のゲートスタック材料を実質的に除去しないものである。一実施態様では、p型ドープポリシリコンがホウ素を含むものである。基板は好ましくは、高誘電率/メタルゲート集積化スキームを備えたものである。
該方法では、約10℃−約75℃、好ましくは約20℃−約50℃の範囲の温度で、窒化ケイ素と比べてp型ドープポリシリコンが選択的に除去される。当業者には、除去時間は、除去が単一ウェハツールで行なわれるか多重ウェハツールで行なわれるかに応じて異なることが認識されようが、ここで、該時間は優先的には、前者の場合は約30秒−約4分間及び後者の場合は約1分−約20分間の範囲である。かかる接触時間及び温度は例示であり、窒化ケイ素と比べてp型ドープポリシリコンを基板から選択的に除去するのに有効な任意の他の適当な時間及び温度条件が使用され得る。
好ましくは、p型ドープポリシリコンの除去速度は約50nm/分−1−約500nm/分−1、より好ましくは約100nm/分−1−約300nm/分−1、最も好ましくは約120nm/分−1−約300nm/分−1の範囲である。窒化ケイ素の除去速度は、本明細書に記載のように、p型ドープポリシリコン、例えばホウ素ドープポリシリコンのものより遅い。例えば、窒化ケイ素の除去速度は、好ましくは、約3nm/分−1未満、より好ましくは約2nm/分−1未満、最も好ましくは約1nm/分−1未満である。
本明細書において上記に紹介したように、硝酸/HF/水組成物によってp型ポリシリコンをエッチングすることができるが硝酸濃度が高い場合のみであることが知られているが、高い窒素含有量は不都合である。驚くべきことに、本発明者らは、硫酸を添加しながら硝酸濃度を下げると、窒化ケイ素と比べてp型ドープポリシリコン、例えばホウ素ドープポリシリコンを選択的に除去し得るが依然として高いp型ドープポリシリコンエッチング速度を有する除去用組成物がもたらされることを見出した。
第2の態様において、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源、任意選択的に少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含むものであって、窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去するための除去用組成物を記載する。
好ましくは、該組成物は、基板上に存在している他のゲートスタック材料を実質的に除去しないものである。
一実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物及び少なくとも1種類の強酸を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物及び少なくとも1種類の硫黄含有強酸を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
また別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
さらに別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有強酸及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物及び少なくとも1種類の強酸を含むもの、これらからなるもの、又は本質的にこれらからなるものであって、添加された水が実質的にないものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物及び少なくとも1種類の硫黄含有強酸を含むもの、これらからなるもの、又は本質的にこれらからなるものであって、添加された水が実質的にないものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸及び少なくとも1種類のシリコン源又は少なくとも1種類の還元剤を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有強酸及び少なくとも1種類のシリコン源を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有強酸及び少なくとも1種類の還元剤を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、少なくとも1種類のシリコン源又は少なくとも1種類の還元剤、及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
また別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有酸、少なくとも1種類のシリコン源及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有酸、少なくとも1種類の還元剤、及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
さらに別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸及び少なくとも1種類のシリコン源又は少なくとも1種類の還元剤を含むもの、これらからなるもの、又は本質的にこれらからなるものであって、添加された水が実質的にないものである。
さらに別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、少なくとも1種類のシリコン源又は少なくとも1種類の還元剤、及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
さらに別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有強酸及び少なくとも1種類のシリコン源を含むもの、これらからなるもの、又は本質的にこれらからなるものであって、添加された水が実質的にないものである。
また別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有強酸、少なくとも1種類のシリコン源及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
また別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有強酸及び少なくとも1種類の還元剤を含むもの、これらからなるもの、又は本質的にこれらからなるものであって、添加された水が実質的にないものである。
別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の硫黄含有強酸、少なくとも1種類の還元剤、及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
さらに別の実施態様では、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、少なくとも1種類のシリコン源、少なくとも1種類の還元剤、及び少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
本発明の好ましい一実施態様では、除去用組成物は、XeF、アミン、塩化物(Cl)、金属ハロゲン化物、キレート剤(例えば、イミノ二酢酸、マロン酸、シュウ酸、コハク酸、ホウ酸、リンゴ酸、2,4-ペンタンジオン、及び/又はグリコールエーテルキレート剤)並びにその組合せが実質的にないものである。第2の態様の除去用組成物のpH(又はハメットの酸度関数H)は、好ましくは2未満、好ましくは2−約−8、より好ましくは−1−約−5の範囲である。
該少なくとも1種類の溶媒は、水及び/又はメタノール、エタノール、イソプロパノール、ブタノール、ペンタノール、ヘキサノール、2-エチル-1-ヘキサノール、ヘプタノール、オクタノール、エチレングリコール、プロピレングリコール、ブチレングリコール、ブチレンカーボネート、エチレンカーボネート、プロピレンカーボネート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn-プロピルエーテル、ジプロピレングリコールn-プロピルエーテル(DPGPE)、トリプロピレングリコールn-プロピルエーテル、プロピレングリコールn-ブチルエーテル、ジプロピレングリコールn-ブチルエーテル、トリプロピレングリコールn-ブチルエーテル、プロピレングリコールフェニルエーテル、2,3-ジヒドロデカフルオロペンタン、エチルパーフルオロブチルエーテル、メチルパーフルオロブチルエーテル、アルキルカーボネート、アルキレンカーボネート、4-メチル-2-ペンタノール、ジメチルスルホキシド、スルホラン、メチルスルホニルメタン、酢酸、無水酢酸、トリフルオロ酢酸、高密度流体、及びその組合せからなる群より選択される少なくとも1種類の水混和性有機溶媒を含むものであり得る。好ましくは、該少なくとも1種類の溶媒は水、スルホラン、メチルスルホニルメタン及びその任意の組合せを含む。
該少なくとも1種類のフッ化物源としては、限定されないが、フッ化水素酸、フッ化アンモニウム、二フッ化アンモニウム、ヘキサフルオロケイ酸、テトラフルオロホウ酸、テトラフルオロホウ酸テトラブチルアンモニウム(TBA-BF)、ヘキサフルオロタンタル酸、ヘキサフルオロチタン酸、ヘキサフルオロタンタル酸アンモニウム、式[NR]F(式中、R、R、R及びRは互いに同じであるか、若しくは異なっており、C−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)及びC−C10アリール(例えば、ベンジル)からなる群より選択される)を有するフッ化テトラアルキルアンモニウム、例えばフッ化テトラメチルアンモニウム、又はその組合せが挙げられる。好ましくは、該少なくとも1種類のフッ化物はHFを含む。
本明細書において想定されるナイトレートとしては、限定されないが、硝酸、硝酸ナトリウム、硝酸カリウム、硝酸ルビジウム、硝酸マグネシウム、硝酸カルシウム、硝酸アンモニウム、式[NR]NO(式中、R、R、R及びRは互いに同じであるか、又は異なっており、C−Cアルキル(例えば、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル)及びC−C10アリール(例えば、ベンジル)からなる群より選択される)を有する硝酸テトラアルキルアンモニウム、例えば硝酸テトラメチルアンモニウム並びにその組合せが挙げられる。好ましくは、該少なくとも1種類のナイトレートは硝酸を含む。
該少なくとも1種類の強酸としては、限定されないが、硫酸、アルカンスルホン酸(例えば、メタンスルホン酸(MSA)、エタンスルホン酸、2-ヒドロキシエタンスルホン酸、n-プロパンスルホン酸、イソプロパンスルホン酸、イソブテンスルホン酸、n-ブタンスルホン酸、n-オクタンスルホン酸)、トリフルオロメタンスルホン酸、p-トルエンスルホン酸、テトラフルオロホウ酸、過塩素酸、及びその組合せが挙げられる。好ましくは、該少なくとも1種類の強酸は硫酸などの硫黄含有酸を含む。
理論に拘束されることを望まないが、シリコン源がp型ドープポリシリコンのエッチング速度を安定化させていると考えられる。シリコン源は除去用組成物にシリコン粉末として、又はシラン又は両者の組合せとして添加され得る。シランとしては、限定されないが、オルトケイ酸テトラメチル(TMOS)、オルトケイ酸テトラエチル(TEOS)、3-アミノプロピルトリメトキシシラン、ビス[3-(トリメトキシシリル)プロピル]-エチレンジアミン、ビス[3-(トリエトキシシリル)プロピル]-エチレンジアミン、メチルトリメトキシシラン(MTMS)、ポリジメチルシラン(PDMS)、プロピルトリメトキシシラン(PTMS)、メチルトリエトキシシラン(MTES)、エチルトリエトキシシラン、トリエトキシシラン、トリメトキシシラン、ジメチルジエトキシシラン、ジエチルジエトキシシラン、ジエチルジメトキシシラン、ビス(3-トリメトキシシリルプロピル)-N-メチルアミン、3-(2-アミノエチルアミノ)プロピルトリエトキシシラン、N-プロピルトリエトキシシラン、3-(2-アミノエチルアミノ)プロピルトリメトキシシラン、メチルシクロヘキシルジメトキシシラン、ジメチルジメトキシシラン、ジシクロペンチルジメトキシシラン、3-[2(ビニルベンジルアミノ)エチルアミノ]プロピルトリメトキシシラン、3-アミノプロピルトリエトキシシラン、3-(アミノプロピル)ジメチルエトキシシラン、3-(アミノプロピル)メチルジエトキシシラン、3-(アミノプロピル)メチルジメトキシシラン、3-(アミノプロピル)ジメチルメトキシシラン、N-ブチル-3-アミノプロピルトリエトキシシラン、N-ブチル-3-アミノプロピルトリメトキシシラン、N-(β-アミノエチル)-γ-アミノ-プロピルトリエトキシシラン、4-アミノ-ブチルジメチルエトキシシラン、N-(2-アミノエチル)-3-アミノプロピルメチルジメトキシシラン、N-(2-アミノエチル)-3-アミノプロピルメチルジエトキシシラン、3-アミノプロピルメチルジエトキシシラン、1,1,3,3-テトラメチルジシロキサン、1,1,3,3-テトラメチルジシラザン、(N,N-ジメチルアミノ)ジメチルシラン又はその組合せが挙げられる。択一的又は付加的に、シリコン源は第4級アンモニウムのシリケート、例えばテトラアルキルアンモニウムシリケートであってもよい。シリコン源(一又は複数)は好ましくは除去用組成物に溶解するものである。好ましくは、シリコン源はシリコン粉末を含む。
理論に拘束されることを望まないが、エッチング速度の別の安定化機構は、還元型窒素種、例えば一酸化窒素、亜硝酸及び二酸化窒素(場合によってはカチオン形態)の存在を伴うものであると考えられる。かかる種は、シリコン源による少なくとも1種類のナイトレート、例えば硝酸の還元によってインサイチュで形成され得る。シリコン源は除去用組成物にシリコン粉末として、又はシラン又は両者の組合せとして添加され得る。シランとしては、限定されないが、トリエトキシシラン、トリメトキシシラン、オルトケイ酸テトラメチル(TMOS)、オルトケイ酸テトラエチル(TEOS)、3-アミノプロピルトリメトキシシラン、ビス[3-(トリメトキシシリル)プロピル]-エチレンジアミン、ビス[3-(トリエトキシシリル)プロピル]-エチレンジアミン、メチルトリメトキシシラン(MTMS)、ポリジメチルシラン(PDMS)、プロピルトリメトキシシラン(PTMS)、メチルトリエトキシシラン(MTES)、エチルトリエトキシシラン、トリエトキシシラン、トリメトキシシラン、ジメチルジエトキシシラン、ジエチルジエトキシシラン、ジエチルジメトキシシラン、ビス(3-トリメトキシシリルプロピル)-N-メチルアミン、3-(2-アミノエチルアミノ)プロピルトリエトキシシラン、N-プロピルトリエトキシシラン、3-(2-アミノエチルアミノ)プロピルトリメトキシシラン、メチルシクロヘキシルジメトキシシラン、ジメチルジメトキシシラン、ジシクロペンチルジメトキシシラン、3-[2(ビニルベンジルアミノ)エチルアミノ]プロピルトリメトキシシラン、3-アミノプロピルトリエトキシシラン、3-(アミノプロピル)ジメチルエトキシシラン、3-(アミノプロピル)メチルジエトキシシラン、3-(アミノプロピル)メチルジメトキシシラン、3-(アミノプロピル)ジメチルメトキシシラン、N-ブチル-3-アミノプロピルトリエトキシシラン、N-ブチル-3-アミノプロピルトリメトキシシラン、N-(β-アミノエチル)-γ-アミノ-プロピルトリエトキシシラン、4-アミノ-ブチルジメチルエトキシシラン、N-(2-アミノエチル)-3-アミノプロピルメチルジメトキシシラン、N-(2-アミノエチル)-3-アミノプロピルメチルジエトキシシラン、3-アミノプロピルメチルジエトキシシラン、1,1,3,3-テトラメチルジシロキサン、1,1,3,3-テトラメチルジシラザン、(N,N-ジメチルアミノ)ジメチルシラン又はその組合せが挙げられる。択一的又は付加的に、シリコン源は第4級アンモニウムのシリケート、例えばテトラアルキルアンモニウムシリケートであってもよい。シリコン源(一又は複数)は好ましくは除去用組成物に溶解するものである。好ましくは、シリコン源はシリコン粉末を含む。
また、一部の該少なくとも1種類のナイトレート、例えば硝酸を、少なくとも1種類の還元剤を用いて還元してもよい。例えば、還元は、二酸化硫黄溶液、亜硫酸塩(例えば、亜硫酸水素アンモニウム)又はチオ硫酸塩(例えば、チオ硫酸アンモニウム)又は硫黄元素の添加によって行なわれ得る。他の還元剤としては、限定されないが、ジメチルスルホキシド、ギ酸及びホルムアルデヒド(COに酸化される)、グリオキシル酸若しくはグリオキサール(シュウ酸に酸化される)、硫酸ヒドラジン、硫酸ヒドロキシルアミン、ボロキシン(H、ホウ酸に酸化される)、ボラン-アミン若しくはボラン-アンモニア錯体、テトラメチルアンモニウムボロヒドリド、亜硫酸、又は水素化ホウ素カリウムが挙げられる。好ましくは、亜硫酸が、還元型窒素種を生成させるための還元剤として使用される。添加剤を伴わない別の選択肢は該少なくとも1種類のナイトレートの還元型窒素種への電気化学的還元である。
還元型窒素種は添加剤として配合物の一部であってもよく、特に亜硝酸は、亜硝酸塩、例えば亜硝酸カリウム、亜硝酸ジシクロヘキシルアミン又は亜硝酸テトラブチルアンモニウムの形態で最も簡便に添加されることは認識されよう。還元型窒素種を除去用組成物に直接添加することができる他の化合物としては、ニトロシルスルホン酸、テトラフルオロホウ酸ニトロシル、並びに一酸化窒素(NO)、二酸化窒素(NO)又はその混合物が挙げられる。好ましくは、その気体を除去用組成物中で起泡させる。前述の還元型窒素種は本明細書に記載の少なくとも1種類のナイトレートの代わりに、又は該ナイトレートに加えて添加され得よう。
注目すべきことに、フッ化水素酸、硫酸及び硝酸は、典型的には水分残量を伴って出荷され、そのため、除去用組成物中には、その化学薬品の組合せに意図的に添加された水(あれば)に加えて水分が存在している場合があり得る。或いはまた、無水フッ化水素ガス及び/又は発煙硝酸(100%)が使用される場合があり得、この場合、追加の水又は他の溶媒(1種類若しくは複数種)を添加してもよい。当業者には理解されるように、天然状態で存在している水(例えば、濃硫酸中、濃硝酸中、濃HF中など)は「添加された水」とみなさない。
好ましい一実施態様では、第2の態様の除去用組成物が:
Figure 2021090061
を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
別の好ましい実施態様では、第2の態様の除去用組成物が:
Figure 2021090061
を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
さらに別の好ましい実施態様では、第2の態様の除去用組成物が:
Figure 2021090061
を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
また別の好ましい実施態様では、第2の態様の除去用組成物が:
Figure 2021090061
を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
さらに別の好ましい実施態様では、第2の態様の除去用組成物が:
Figure 2021090061
を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
また別の好ましい実施態様では、第2の態様の除去用組成物が:
Figure 2021090061
を含むもの、これらからなるもの、又は本質的にこれらからなるものである。
好都合には、本明細書に記載の除去用組成物は、p型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)を高いエッチング速度でエッチングし得ると同時に窒化ケイ素と適合性である(すなわち、窒化ケイ素のエッチング速度が遅い)ものである。さらに、プロセス温度は適度であり、除去用組成物は水溶性であり、組成物調製のコストは低く、除去用組成物中のリン及び窒素の含有量が低いため廃棄物が少ない。
理論に拘束されることを望まないが、窒化ケイ素と比べてp型ドープポリシリコンの選択性は、フッ化物(一又は複数)に対するナイトレート(一又は複数)の重量パーセント比によってもたらされると考えられる。初期の結果は、約0.2:1−約2:1、好ましくは約0.3:1−約1:1の範囲の49%HFに対して70%硝酸の重量パーセント比で、窒化ケイ素と比べてp型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)の最良の選択性(50:1より大きい選択性)がもたらされたことを示唆する。さらに、初期の結果は、窒化ケイ素と比べてp型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)の最良の選択性(50:1より大きい選択性)をもたらす、存在させる硫黄含有酸(一又は複数)、例えば硫酸の至適重量パーセントが存在し得ること(例えば、約60wt%−約70wt%の範囲の濃硫酸)を示唆する。また、少なくとも1種類のシリコン源又は少なくとも1種類の還元剤の添加によってp型ドープポリシリコンのエッチング速度が触媒されるとともに、その安定なエッチング速度がもたらされることが見出された。
本発明の別の態様では、本明細書に記載の除去用組成物はさらに、溶解型のp型ドープポリシリコン、例えばホウ素ドープポリシリコンを含むものであり得る。例えば、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源又は少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含むもの、本質的にこれらからなるもの、又はこれらからなるものであり得る。
濃縮形態の除去用組成物を使用前に希釈することは一般的な実務であることは認識されよう。例えば、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源、任意選択的に少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含む除去用組成物が、より濃縮された形態で製造され、その後、溶媒及び/又はさらなる該強酸で、製造業者において使用前及び/又は使用中に製作時に希釈され得る。希釈比は、約0.1部の希釈剤:1部の除去用組成物濃縮物−約100部の希釈剤:1部の除去用組成物濃縮物の範囲であり得る。
さらに、使用時に該混合物を分配又は加工するために使用される系の制限は、該混合物の粘度を低下させるためのレオロジープロフィールの修正が必要とされ得ることであることは認識されよう。この目的のため、界面活性剤、ポリマーなどの使用によって粘度又は全体のレオロジープロフィールが低減され(すなわち、ニュートンから非ニュートンに)、該混合物の加工能が改善され得る。アスペクト比が高いという特長の除去用組成物の使用並びに加工後のすすぎ特性の改善という潜在的付加的有益性が存在する。
本発明の除去用組成物は、それぞれの成分を単純に添加し、均一な状態に混合することによって容易に配合される。さらに、除去用組成物は、単一パッケージ配合物、又は使用時点若しくは使用時点の前に混合する複数パート配合物、好ましくは複数パート配合物として容易に配合され得る。複数パート配合物の個々のパートはツールにおいて、又は混合領域/エリア内で、例えば、インラインミキサー又は該ツールの上流の貯蔵タンク内で混合され得る。複数パート配合物の種々のパートには、一緒に混合すると所望の除去用組成物が形成される成分/構成要素の任意の組合せが含有され得ることが想定される。それぞれの成分の濃度は多様な具体的な除去用組成物において広く異なり得る、すなわち、本発明の広範な実施において、より希薄な場合又はより濃縮されている場合があり得、本発明の除去用組成物は種々に択一的に、本明細書における開示に整合する任意の組合せの成分を含むもの、該成分からなるもの、又は本質的に該成分からなるものであり得ることは認識されよう。
したがって、本発明の別の態様は、1つ以上の容器内に、本発明の組成物を形成するために適合させた1種類以上の成分を含むキットに関する。好ましくは、キットは、1つ以上の容器内に、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源、任意選択的に少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含むものであって、製作時又は使用時点で溶媒及び/又はさらなる強酸と合わせるためのものである。キットの容器は、前記除去用組成物の貯蔵及び出荷に適したもの、例えば、NOWPak(登録商標)容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)でなければならない。除去用組成物の成分が内包される該1つ以上の容器は、好ましくは、前記1つ以上の容器内の成分を、ブレンド及び分配のために流体により連通させる手段を含むものである。例えば、NOWPak(登録商標)容器に言及すると、前記1つ以上の容器のライナーの内容物の少なくとも一部分が排出されることを引き起こし、したがって、ブレンド及び分配のための流体による連通を可能にするために、ガス圧力が該ライナーの外部に負荷され得る。或いはまた、ガス圧力を慣用的な加圧容器のヘッドスペースに負荷してもよく、流体による連通を可能にするためにポンプを使用してもよい。また、該系は好ましくは、ブレンドされた除去用組成物を加工ツールに分配するための分配ポートを含むものである。
実質的に化学的に不活性であり、不純物を含まず、可撓性でレジリエントな高分子フィルム材料、例えば高密度ポリエチレンが、前記1つ以上の容器のライナーを製作するために好ましく使用される。望ましいライナー材料は、共押出しが必要とされることなく加工されるもの又はバリア層であり、顔料、UV阻害剤、又は該ライナー内に配される成分の純度要件に有害な影響を及ぼし得る加工用薬剤を全く含まないものである。望ましいライナー材料のリストには、バージン(添加剤無含有)ポリエチレン、バージンポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含むフィルムが含まれる。かかるライナー材料の好ましい厚さは約5ミル(0.005インチ)−約30ミル(0.030インチ)の範囲、例えば20ミル(0.020インチ)の厚さである。
該キットのための容器に関して、以下の特許及び特許出願:発明の名称が「超高純度液中における粒子の生成を最小限にするための装置及び方法」である米国特許第7188644号;発明の名称が「返却可能及び再利用可能なバッグインドラム型(BAG−IN−DRUM)の液体貯蔵及び分配用容器システム」である米国特許第6698619号;及び発明の名称が「材料のブレンド及び分布のための系及び方法」であり、2009年11月24日にJohn E.Q.Hughesの名義で出願された米国特許出願第12/599291号の開示内容が出典明示により、それぞれその全体が本明細書に援用される。
マイクロ電子機器の製造作業に適用される際、本明細書に記載の除去用組成物は、窒化ケイ素と比べてp型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)を、マイクロ電子デバイスの表面から選択的に除去するために有効に使用され、前記表面に、別の材料を該デバイスの表面から除去するために配合された他の組成物の適用の前又は後に適用され得る。重要なことには、本明細書に記載の除去用組成物は、窒化ケイ素と比べてp型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)を選択的に除去するが、基板上に存在している他のメタルスタック材料は実質的に除去しない。
除去用途において、除去用組成物はデバイスに任意の適当な様式で、例えば、除去用組成物をデバイス表面上に噴霧することによって、デバイスを静的若しくは動的容量の除去用組成物中に浸漬させることによって、デバイスを、除去用組成物を吸収させてある別の材料、例えば、パッド若しくは繊維質吸収材アプリケータ要素と接触させることによって、又は除去用組成物を、p型ドープポリシリコン及び窒化ケイ素を含むデバイスと除去的に接触させる任意の他の適当な手段、様式若しくは手法によって適用される。さらに、バッチ式又は単一ウェハ加工が本明細書において想定される。
所望の除去作用が達成された後、除去用組成物は、先に該組成物を適用したデバイスから、例えば、すすぎ、洗浄又は他の除去工程(一又は複数)によって容易に除去され、これは望ましく、効果的であり得る。例えば、デバイスは、リンス液、例えば脱イオン水を用いてすすぎ洗いされ得る、及び/又は乾燥され得る(例えば、遠心脱水、N、溶媒(IPAなど)蒸気乾燥など)。任意選択的に、すすぎ用の水に、pHが約10となるようにアンモニアなどの少量の塩基性試薬を添加してもよい。この修正は、高濃度の硫酸溶液で処理されたシリコンベースの表面のスルフェートによる汚染を抑制するのに有用であると考えられる。
本発明の別の態様は、本発明の方法によって作製される改善されたマイクロ電子デバイス及びかかるマイクロ電子デバイスを含むものである製品に関する。
本発明のなおさらなる一態様は、マイクロ電子デバイスを備えた物品の製造方法であって、マイクロ電子デバイスを除去用組成物と、窒化ケイ素と比べてp型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)が、前記材料を上面に含む該マイクロ電子デバイスから選択的に除去されるのに充分な時間、接触させること、及び前記マイクロ電子デバイスを前記物品に組み込むことを含む方法に関する。除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源又は少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含むもの、これらからなるもの、又は本質的にこれらからなるものであり得る。
本発明の別の態様は、マイクロ電子デバイス基板と除去用組成物を備えた製造物品であって、該マイクロ電子デバイス基板がp型ドープポリシリコン(例えば、ホウ素ドープポリシリコン)と窒化ケイ素を備えたものである製造物品に関する。例えば、除去用組成物は、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源又は少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含むものであり得る。
別の態様では、本開示は、p型ドープポリシリコンのダミーゲートを形成することを含むゲートラストプロセスを行なうこと、及びその後、メタルゲートでの置き換えのために該ダミーゲートを除去することを含み、該ダミーゲートは本明細書に記載の除去用組成物によって除去される、半導体デバイスの製作方法に関する。
当業者には、本明細書に記載の除去用組成物は、窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去することに限定されず、当業者に理解されるように、シリコン含有材料一般、例えば、犠牲ポリシリコン(ドーピングあり若しくはドーピングなし)及び結晶シリコンを除去するために使用され得ることが認識されよう。
本発明の特徴及び利点を、以下に論考する実例としての実施例によって、より充分に示す。
実施例1
サンプル配合物の例を以下の表1に示す。
表1:配合物A−D
Figure 2021090061
配合物A−Dの性能を以下に表2に示す。エッチング速度は400RPMで回転しているウェハにおいて測定した。促進貯蔵寿命試験は55℃にて、37.4日間までの範囲の期間で実施した。表2に示した期間は、20℃において予測される老化挙動のものであり、10倍の推定加速係数を含む。
表2:配合物A−Dの実験結果
Figure 2021090061
本発明を本明細書において、実例としての実施態様及び特徴に関して種々に開示したが、本明細書において上記の実施態様及び特徴は本発明を限定することを意図したものではないこと、並びに本明細書における開示に基づいて当業者には、他の変形例、修正例及び他の実施態様が示唆されることは認識されよう。したがって、かかる変形例、修正例及び択一的な実施態様はすべて、本明細書において以下に示す特許請求の範囲の趣旨及び範囲に包含されるため、本発明は広く解釈される。

Claims (23)

  1. 窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去する方法であって、p型ドープポリシリコンと窒化ケイ素を含む基板を除去用組成物と接触させることを含み、前記除去用組成物が、窒化ケイ素と比べてp型ドープポリシリコンを選択的に除去するものである方法。
  2. 前記除去用組成物は、前記基板上に存在している他のゲートスタック材料を実質的に除去しないものである、請求項1に記載の方法。
  3. 前記p型ドープポリシリコンが、ホウ素、アルミニウム、ガリウム及び/又はインジウムを含むものである、請求項1又は2に記載の方法。
  4. 前記p型ドープポリシリコンがホウ素を含むものである、請求項1又は2に記載の方法。
  5. 前記除去用組成物が、少なくとも1種類のナイトレート、少なくとも1種類のフッ化物、少なくとも1種類の強酸、任意選択的に少なくとも1種類のシリコン源、任意選択的に少なくとも1種類の還元剤、及び任意選択的に少なくとも1種類の溶媒を含むものである、請求項1から4の何れか一項に記載の方法。
  6. 前記少なくとも1種類のフッ化物が、フッ化水素酸、フッ化アンモニウム、二フッ化アンモニウム、ヘキサフルオロケイ酸、テトラフルオロホウ酸、テトラフルオロホウ酸テトラブチルアンモニウム(TBA-BF)、ヘキサフルオロタンタル酸、ヘキサフルオロチタン酸、ヘキサフルオロタンタル酸アンモニウム、式[NR]F(式中、R、R、R及びRは互いに同じであるか、又は異なっており、C−Cアルキル及びC−C10アリールからなる群より選択される)を有するフッ化テトラアルキルアンモニウム、並びにその組合せからなる群より選択される種を含み、好ましくはHFを含む、請求項5に記載の方法。
  7. 前記少なくとも1種類のナイトレートが、硝酸、硝酸ナトリウム、硝酸カリウム、硝酸ルビジウム、硝酸マグネシウム、硝酸カルシウム、硝酸アンモニウム、式[NR]NO(式中、R、R、R及びRは互いに同じであるか、又は異なっており、C−Cアルキル及びC−C10アリールからなる群より選択される)を有する硝酸テトラアルキルアンモニウム、並びにその組合せからなる群より選択される種を含み、好ましくは硝酸を含む、請求項5又は6に記載の方法。
  8. 前記除去用組成物がさらに少なくとも1種類の溶媒を含むものである、請求項5から7の何れか一項に記載の方法。
  9. 前記少なくとも1種類の溶媒が、水、メタノール、エタノール、イソプロパノール、ブタノール、ペンタノール、ヘキサノール、2-エチル-1-ヘキサノール、ヘプタノール、オクタノール、エチレングリコール、プロピレングリコール、ブチレングリコール、ブチレンカーボネート、エチレンカーボネート、プロピレンカーボネート、ジプロピレングリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、ジエチレングリコールモノヘキシルエーテル、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn-プロピルエーテル、ジプロピレングリコールn-プロピルエーテル(DPGPE)、トリプロピレングリコールn-プロピルエーテル、プロピレングリコールn-ブチルエーテル、ジプロピレングリコールn-ブチルエーテル、トリプロピレングリコールn-ブチルエーテル、プロピレングリコールフェニルエーテル、2,3-ジヒドロデカフルオロペンタン、エチルパーフルオロブチルエーテル、メチルパーフルオロブチルエーテル、アルキルカーボネート、アルキレンカーボネート、4-メチル-2-ペンタノール、酢酸、無水酢酸、トリフルオロ酢酸、ジメチルスルホキシド、スルホラン、メチルスルホニルメタン、高密度流体、及びその組合せ、好ましくは、水、スルホラン、メチルスルホニルメタン、及びその組合せからなる群より選択される種を含む、請求項8に記載の方法。
  10. 前記少なくとも1種類の強酸が、硫酸、メタンスルホン酸(MSA)、エタンスルホン酸、2-ヒドロキシエタンスルホン酸、n-プロパンスルホン酸、イソプロパンスルホン酸、イソブテンスルホン酸、n-ブタンスルホン酸、n-オクタンスルホン酸、トリフルオロメタンスルホン酸、p-トルエンスルホン酸、テトラフルオロホウ酸、過塩素酸、及びその組合せからなる群より選択される種を含み、好ましくは硫酸を含む、請求項5から9の何れか一項に記載の方法。
  11. 前記少なくとも1種類のナイトレートの量が約0.1wt%から約1wt%、好ましくは約0.1wt%から約1wt%であり、前記少なくとも1種類のフッ化物の量が約0.1wt%から約3wt%、好ましくは約0.1wt%から約3wt%であり、前記少なくとも1種類の強酸の量が約50wt%から約85wt%、好ましくは約60wt%から約80wt%であり、前記少なくとも1種類の溶媒の量が約11wt%から約49.8wt%、好ましくは約16wt%から約39.8wt%である、請求項5から10の何れか一項に記載の方法。
  12. 前記少なくとも1種類のシリコン源、前記少なくとも1種類の還元剤、又は両方を含む、請求項5から10の何れか一項に記載の方法。
  13. 前記少なくとも1種類のシリコン源が、シリコン粉末、オルトケイ酸テトラメチル(TMOS)、オルトケイ酸テトラエチル(TEOS)、3-アミノプロピルトリメトキシシラン、ビス[3-(トリメトキシシリル)プロピル]-エチレンジアミン、ビス[3-(トリエトキシシリル)プロピル]-エチレンジアミン、メチルトリメトキシシラン(MTMS)、ポリジメチルシラン(PDMS)、プロピルトリメトキシシラン(PTMS)、メチルトリエトキシシラン(MTES)、エチルトリエトキシシラン、トリエトキシシラン、トリメトキシシラン、ジメチルジエトキシシラン、ジエチルジエトキシシラン、ジエチルジメトキシシラン、ビス(3-トリメトキシシリルプロピル)-N-メチルアミン、3-(2-アミノエチルアミノ)プロピルトリエトキシシラン、N-プロピルトリエトキシシラン、3-(2-アミノエチルアミノ)プロピルトリメトキシシラン、メチルシクロヘキシルジメトキシシラン、ジメチルジメトキシシラン、ジシクロペンチルジメトキシシラン、3-[2(ビニルベンジルアミノ)エチルアミノ]プロピルトリメトキシシラン、3-アミノプロピルトリエトキシシラン、3-(アミノプロピル)ジメチルエトキシシラン、3-(アミノプロピル)メチルジエトキシシラン、3-(アミノプロピル)メチルジメトキシシラン、3-(アミノプロピル)ジメチルメトキシシラン、N-ブチル-3-アミノプロピルトリエトキシシラン、N-ブチル-3-アミノプロピルトリメトキシシラン、N-(β-アミノエチル)-γ-アミノ-プロピルトリエトキシシラン、4-アミノ-ブチルジメチルエトキシシラン、N-(2-アミノエチル)-3-アミノプロピルメチルジメトキシシラン、N-(2-アミノエチル)-3-アミノプロピルメチルジエトキシシラン、3-アミノプロピルメチルジエトキシシラン、1,1,3,3-テトラメチルジシロキサン、1,1,3,3-テトラメチルジシラザン、(N,N-ジメチルアミノ)ジメチルシラン、テトラアルキルアンモニウムシリケート、及びその組合せからなる群より選択され、
    前記少なくとも1種類の還元剤が、二酸化硫黄溶液、亜硫酸塩、チオ硫酸塩、亜硫酸、硫黄元素、ジメチルスルホキシド、ギ酸、ホルムアルデヒド、グリオキシル酸、グリオキサール、硫酸ヒドラジン、硫酸ヒドロキシルアミン、ボロキシン、ボラン−アミン錯体、ボラン−アンモニア錯体、テトラメチルアンモニウムボロヒドリド、水素化ホウ素カリウム、及びその組合せからなる群より選択される種を含む、請求項12に記載の方法。
  14. 前記少なくとも1種類のナイトレートの量が約0.1wt%から約1wt%、好ましくは約0.1wt%から約1wt%であり、前記少なくとも1種類のフッ化物の量が約0.1wt%から約3wt%、好ましくは約0.1wt%から約3wt%であり、少なくとも1種類の硫黄含有酸の量が約50wt%から約85wt%、好ましくは約60wt%から約80wt%であり、前記少なくとも1種類のシリコン源又は前記少なくとも1種類の還元剤の量が約0.00001wt%から約0.5wt%、好ましくは約0.00001wt%から約0.3wt%であり、前記少なくとも1種類の溶媒の量が約10.5wt%から約49.8wt%、好ましくは約15.7wt%から約39.8wt%である、請求項12又は13に記載の方法。
  15. 前記除去用組成物は、XeF、アミン、塩化物(Cl)、金属ハロゲン化物、イミノ二酢酸、マロン酸、シュウ酸、コハク酸、ホウ酸、リンゴ酸、2,4-ペンタンジオン、グリコールエーテルキレート剤、及びその組合せが実質的にないものである、請求項5から14の何れか一項に記載の方法。
  16. 前記除去用組成物のpHが2から約−8、好ましくは約−1から約−5の範囲である、請求項5から15の何れか一項に記載の方法。
  17. 前記少なくとも1種類のフッ化物に対する前記少なくとも1種類のナイトレートの重量パーセント比が約0.2:1から約2:1、好ましくは約0.3:1から約1:1の範囲である、請求項5から16の何れか一項に記載の方法。
  18. 温度が約10℃から約75℃、好ましくは約20℃から約50℃の範囲である、請求項1から17の何れか一項に記載の方法。
  19. 窒化ケイ素に対するp型ドープポリシリコンの除去選択性が約2:1から約1000:1、好ましくは約10:1から約300:1、最も好ましくは約50:1から約200:1である、請求項1から18の何れか一項に記載の方法。
  20. 前記p型ドープポリシリコンの除去速度が約50nm/分−1から約500nm/分−1、より好ましくは約100nm/分−1から約300nm/分−1、最も好ましくは約120nm/分−1から約300nm/分−1の範囲である、請求項1から19の何れか一項に記載の方法。
  21. 前記窒化ケイ素の除去速度が約3nm/分−1未満、より好ましくは約2nm/分−1未満である、請求項1から20の何れか一項に記載の方法。
  22. 前記除去用組成物がさらに溶解型のp型ドープポリシリコンを含むものである、請求項1から21の何れか一項に記載の方法。
  23. 前記除去用組成物を、リンス液を用いて前記デバイスから洗い流す、請求項1から22の何れか一項に記載の方法。
JP2021012437A 2015-11-23 2021-01-28 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法 Pending JP2021090061A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023060438A JP2023089051A (ja) 2015-11-23 2023-04-03 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562258735P 2015-11-23 2015-11-23
US62/258,735 2015-11-23
US201662288809P 2016-01-29 2016-01-29
US62/288,809 2016-01-29
US201662353228P 2016-06-22 2016-06-22
US62/353,228 2016-06-22

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018526779A Division JP6885942B2 (ja) 2015-11-23 2016-11-22 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023060438A Division JP2023089051A (ja) 2015-11-23 2023-04-03 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法

Publications (1)

Publication Number Publication Date
JP2021090061A true JP2021090061A (ja) 2021-06-10

Family

ID=58763622

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018526779A Active JP6885942B2 (ja) 2015-11-23 2016-11-22 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法
JP2021012437A Pending JP2021090061A (ja) 2015-11-23 2021-01-28 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法
JP2023060438A Pending JP2023089051A (ja) 2015-11-23 2023-04-03 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018526779A Active JP6885942B2 (ja) 2015-11-23 2016-11-22 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023060438A Pending JP2023089051A (ja) 2015-11-23 2023-04-03 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法

Country Status (7)

Country Link
US (1) US10991809B2 (ja)
EP (1) EP3381046B1 (ja)
JP (3) JP6885942B2 (ja)
KR (1) KR102090307B1 (ja)
CN (1) CN108369898B (ja)
TW (1) TWI722055B (ja)
WO (1) WO2017091572A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7177344B2 (ja) * 2017-11-14 2022-11-24 セントラル硝子株式会社 ドライエッチング方法
US11441229B2 (en) * 2018-07-06 2022-09-13 Entegris, Inc. Method for selectively removing nickel platinum material
CN109554711A (zh) * 2019-01-31 2019-04-02 武汉华星光电半导体显示技术有限公司 蚀刻液组合物
US20220169956A1 (en) * 2019-04-09 2022-06-02 Basf Se Composition comprising an ammonia-activated siloxane for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
CN110373719B (zh) * 2019-08-13 2020-12-15 湖北兴福电子材料有限公司 一种高选择比的多晶硅蚀刻液及其制备方法
JP7398550B2 (ja) * 2019-08-21 2023-12-14 インテグリス・インコーポレーテッド 高度に選択的な窒化ケイ素エッチングのための改良された配合物
WO2021076676A1 (en) * 2019-10-17 2021-04-22 Versum Materials Us, Llc Etching composition and method for euv mask protective structure
CN111019659B (zh) * 2019-12-06 2021-06-08 湖北兴福电子材料有限公司 一种选择性硅蚀刻液
JP7446097B2 (ja) * 2019-12-06 2024-03-08 東京応化工業株式会社 表面処理剤及び表面処理方法
JP7418261B2 (ja) * 2020-03-26 2024-01-19 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP2024520474A (ja) 2021-05-26 2024-05-24 インテグリス・インコーポレーテッド 窒化ケイ素膜を選択的にエッチングするための組成物および方法
CN115558601B (zh) * 2022-11-30 2023-06-06 苏州大学 一种迷你哺乳动物模型及其应用

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006319171A (ja) * 2005-05-13 2006-11-24 Tosoh Corp エッチング用組成物
JP2007318172A (ja) * 2000-07-31 2007-12-06 Mitsubishi Chemicals Corp エッチング液の製造方法
JP2014212138A (ja) * 2011-09-02 2014-11-13 シャープ株式会社 Siエッチング液

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998246A (en) * 1997-08-08 1999-12-07 National Science Council Of Republic Of China Self-aligned manufacturing method of a thin film transistor for forming a single-crystal bottom-gate and an offset drain
US6833084B2 (en) * 1999-04-05 2004-12-21 Micron Technology, Inc. Etching compositions
US6346467B1 (en) 1999-09-02 2002-02-12 Advanced Micro Devices, Inc. Method of making tungsten gate MOS transistor and memory cell by encapsulating
DE19962136A1 (de) * 1999-12-22 2001-06-28 Merck Patent Gmbh Verfahren zur Rauhätzung von Siliziumsolarzellen
TW511180B (en) 2000-07-31 2002-11-21 Mitsubishi Chem Corp Mixed acid solution in etching process, process for producing the same, etching process using the same and process for producing semiconductor device
US6653199B2 (en) * 2001-10-09 2003-11-25 Micron Technology, Inc. Method of forming inside rough and outside smooth HSG electrodes and capacitor structure
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US7153734B2 (en) 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
CN1690120A (zh) * 2004-03-01 2005-11-02 三菱瓦斯化学株式会社 具有高减震能力的树脂组合物
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
KR101288572B1 (ko) * 2008-12-17 2013-07-22 제일모직주식회사 보관안정성이 우수한 레지스트 하층막용 하드마스크 조성물
US8461566B2 (en) 2009-11-02 2013-06-11 Micron Technology, Inc. Methods, structures and devices for increasing memory density
US8980121B2 (en) * 2010-01-28 2015-03-17 Mitsubishi Gas Chemical Company, Inc. Etching liquid for a copper/titanium multilayer thin film
CN101924139B (zh) 2010-06-25 2012-05-30 北京大学 一种应变沟道场效应晶体管及其制备方法
TWI619800B (zh) * 2010-10-06 2018-04-01 恩特葛瑞斯股份有限公司 選擇性蝕刻金屬氮化物之組成物及方法
EP2514799A1 (en) * 2011-04-21 2012-10-24 Rohm and Haas Electronic Materials LLC Improved polycrystalline texturing composition and method
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
US8894877B2 (en) * 2011-10-19 2014-11-25 Lam Research Ag Method, apparatus and composition for wet etching
US8716145B2 (en) * 2011-11-29 2014-05-06 Intermolecular, Inc. Critical concentration in etching doped poly silicon with HF/HNO3
JP5868437B2 (ja) * 2013-04-26 2016-02-24 株式会社Tkx 太陽電池用シリコンウエハーの製造方法
JP2014232829A (ja) * 2013-05-30 2014-12-11 日本化成株式会社 太陽電池用シリコンウエハーの製造方法
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007318172A (ja) * 2000-07-31 2007-12-06 Mitsubishi Chemicals Corp エッチング液の製造方法
JP2006319171A (ja) * 2005-05-13 2006-11-24 Tosoh Corp エッチング用組成物
JP2014212138A (ja) * 2011-09-02 2014-11-13 シャープ株式会社 Siエッチング液

Also Published As

Publication number Publication date
EP3381046B1 (en) 2022-12-28
KR102090307B1 (ko) 2020-03-17
TWI722055B (zh) 2021-03-21
CN108369898A (zh) 2018-08-03
EP3381046A1 (en) 2018-10-03
US20180337253A1 (en) 2018-11-22
WO2017091572A1 (en) 2017-06-01
US10991809B2 (en) 2021-04-27
KR20180075691A (ko) 2018-07-04
JP6885942B2 (ja) 2021-06-16
JP2018535558A (ja) 2018-11-29
CN108369898B (zh) 2022-08-23
TW201736576A (zh) 2017-10-16
JP2023089051A (ja) 2023-06-27
EP3381046A4 (en) 2019-07-10

Similar Documents

Publication Publication Date Title
JP6885942B2 (ja) 窒化ケイ素と比べてp型ドープポリシリコンを選択的にエッチングするための組成物及び方法
TWI509690B (zh) 選擇性移除氮化矽之組合物及方法
TWI693305B (zh) 於製造一半導體裝置時用於從矽-鍺/矽堆疊同時移除矽及矽-鍺合金的蝕刻溶液
JP6556935B2 (ja) ゲルマニウムに比べてシリコンゲルマニウムを選択的にエッチングする配合物
WO2012174518A2 (en) Compositions and methods for selectively etching silicon nitride
TWI821833B (zh) 濕式蝕刻組合物
KR102283745B1 (ko) 반도체 소자의 제조 동안 질화티탄에 비해 질화탄탈을 선택적으로 제거하기 위한 에칭액
TWI654340B (zh) Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
KR20220049560A (ko) 고선택적 질화규소 에칭을 위한 개선된 제제
TWI816379B (zh) 於製造一半導體裝置時用於從一矽-鍺/矽堆疊選擇性移除矽-鍺合金的蝕刻溶液
TWI822057B (zh) 用於選擇性蝕刻氮化矽膜之組合物及方法
TW202346541A (zh) 用於多晶矽挖掘的配製鹼性化學物質

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210224

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220726

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20221206