JP2020515704A - 基板の自動光学的検査のための装置及び方法 - Google Patents

基板の自動光学的検査のための装置及び方法 Download PDF

Info

Publication number
JP2020515704A
JP2020515704A JP2019506691A JP2019506691A JP2020515704A JP 2020515704 A JP2020515704 A JP 2020515704A JP 2019506691 A JP2019506691 A JP 2019506691A JP 2019506691 A JP2019506691 A JP 2019506691A JP 2020515704 A JP2020515704 A JP 2020515704A
Authority
JP
Japan
Prior art keywords
substrate
processing chamber
chamber
processing
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019506691A
Other languages
English (en)
Inventor
マティアス ハイマンス,
マティアス ハイマンス,
シュテファン バンゲルト,
シュテファン バンゲルト,
トンマーゾ ヴェルチェージ,
トンマーゾ ヴェルチェージ,
セバスチャン グンター ザン,
セバスチャン グンター ザン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020515704A publication Critical patent/JP2020515704A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67718Changing orientation of the substrate, e.g. from a horizontal position to a vertical position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/70Testing, e.g. accelerated lifetime tests
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources

Abstract

基板の光学的検査のためのシステムが提供される。システムは、少なくとも第1の処理チャンバと第2の処理チャンバを備える。システムは、少なくとも、第1の処理チャンバから基板を受容し、当該基板を第2の処理チャンバへと移送するための、移送チャンバを備える。移送チャンバには、第1の処理チャンバ内で処理された基板の光学的検査を実施するための、検査デバイスが設けられている。【選択図】図7

Description

本開示の実施形態は、基板の、具体的には堆積材料で被覆された大面積基板の、光学的検査のための装置、システム、及び方法に関する。また、本開示の実施形態は、処理システム内でインラインで行われる基板の光学的検査のための装置、システム、及び方法、並びに基板の位置をマスク要素に対して位置合わせするためのシステムにも関する。
材料を基板上に堆積する方法は、いくつか知られている。一例として、基板は、蒸発処理、スパッタリング処理や噴霧処理などといった物理的気相堆積(PVD)処理、または化学気相堆積(CVD)処理を使用することによって、被覆され得る。処理は、被覆すべき基板が配置される、堆積装置の処理チャンバ内で実施され得る。堆積材料が処置チャンバ内に提供される。有機材料、分子、金属、酸化物、窒化物、及び炭化物といった複数の材料が、基板上への堆積に使用され得る。処理チャンバ内では、さらに、エッチング、構造化(structuring)、アニール処理などといったその他の処理が実行され得る。
例えば、被覆処理は、大面積基板のために、例えばディスプレイ製造技術において、検討され得る。被覆された基板は、いくつかの用途及びいくつかの技術分野において使用され得る。例えば、1つの用途は有機発光ダイオード(OLED)パネルであり得る。さらなる用途は、絶縁パネル、半導体デバイスなどのマイクロエレクトロニクス、薄膜トランジスタ(TFT)付き基板、カラーフィルタ、などを含む。OLEDは、電気の印加によって光を発する(有機)分子の薄膜で構成された、固体デバイスである。一例として、OLEDディスプレイは、電子デバイスの明るいディスプレイを提供すること、及び、例えば液晶ディスプレイ(LCD)と比較して使用電力を低減することが可能である。有機分子は、処理チャンバ内で生成され(例えば蒸発し、スパッタリングされ、または噴霧されるなど)、基板上に層として堆積される。基板上の所望の位置に材料を堆積して、例えば基板上にOLEDパターンを形成するために、粒子が、例えば境界または特定のパターンを有するマスクを通過し得る。
処理される基板、具体的には堆積層の品質に関わる一側面は、基板のマスクに対する位置合わせである。一例として、良好な処理結果を実現するためには、位置合わせは正確かつ安定的であるべきである。この目的のため、基板とマスクの上にある基準点(フィドゥーシャル)が用いられて、堆積処理の前にマスクが基板に正確に位置合わせされる。しかし、これらの基準点間の関係は、振動、製造公差、ハンドリング、変形などといった外的な干渉の影響を受け得る。したがって、マスクパターンをバックプレーン(公報の平面)の(例えば基板の)パターンと一致させるためにはマスクと基板をどのように位置合わせしなければならないかを規定する、いわゆる「オフセット値」を得るために、自動光学的検査(AOI)が行われ得る。
自動光学的検査を使用する手法は、基板が水平位置において被覆されるときに有効である。例えば、水平位置におけるAOIチェックは、マスクオフセットの調整に関するフィードバックを送信するため、ダミーガラス堆積の製造ラインの終端における測定結果を使用することができる。
堆積中に基板とマスクが基本的に垂直位置に維持されているときは、マスクと基板との間の位置合わせに影響する、さらなる状況が出現する。従来型のライン終端における自動光学的検査は、より有効性が低い。実際、垂直のシステムにおいては、基板とマスクの両方がシステム構成と同じ方向に作用する重力の影響を受けるため、この力によって、特に大面積基板に関して、基板とマスクとの間に相対的なドリフトが生じ得る。さらに、基板は、処理のために水平の構成から垂直の構成へと、及びその逆に、動かされ得る。これによって、基板のマスクに対する相対的な位置合わせが影響を受け得る。この場合、例えばライン終端のチャンバ内での、ダミーガラス堆積を用いた標準的なAOIチェックでは、十分でない可能性がある。
上記の観点から、基板が垂直位置で被覆されているときにおいても、基板に対する改良された自動光学的検査を提供し得る、装置、システム、及び方法の必要性が存在している。
一実施形態によると、光学的検査のための装置が提供される。装置は、少なくとも第1の処理チャンバ及び第2の処理チャンバ内で処理される基板を検査するように構成されている。装置は、第1の処理チャンバと第2の処理チャンバの間で、第1の処理チャンバ内で処理された基板の光学的検査を実施するための検査装置を含む。
別の実施形態によると、基板の光学的検査のためのシステムが提供される。システムは、少なくとも第1の処理チャンバ及び第2の処理チャンバ、並びに、基板を第1の処理チャンバから受容して当該基板を第2の処理チャンバに移送するための移送チャンバであって、第1の処理チャンバ内で処理された基板の光学的検査を実施するための検査装置が設けられている、移送チャンバを少なくとも備える。
別の実施形態によると、基板をインラインで光学的検査する方法が提供される。方法は、基板を第1の処理チャンバから受容することと、堆積パラメータに従って、第1の処理チャンバ内で処理された基板の光学的検査を実施することと、基板の品質に関する情報データを取得することと、当該情報データを第1の処理チャンバに戻すことと、第1の処理チャンバ内における後続の基板の処理のために、この堆積パラメータを適応させることとを含む。
別の実施形態によると、基板の位置を、当該基板に連結されるマスク要素に対して位置合わせするための、システムが提供される。基板及びマスク要素は、基本的に垂直位置にある。システムは、基板に対するマスク要素の相対的位置を光学的に検査するための検査デバイスであって、マスク要素は処理チャンバ内で基板を処理するために使用され、検査デバイスは、当該処理チャンバに後続して配置されている、検査デバイスと、対応するオフセットマスク値を計算するための処理デバイスと、当該計算されたオフセットマスク値に応じて、基板に対するマスク要素の調整を行うための調整デバイスとを含む。
本開示の上記の特徴を詳しく理解し得るように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られてよい。添付図面は本開示の実施形態に関するものであり、これらの図面について以下で説明する。
垂直位置にある基板を処理するための、製造システムの概略側面図である。 基板上にOLEDを製造するための、堆積処理の概略図である。 図3Aは、処理チャンバ内における層堆積中に基板とマスクを垂直位置で支持するための、保持用構成体の概略正面図である。図3Bは、図3Aの保持用構成体の概略側面図である。 マスクに連結された基板の概略図、及び基板の角部の詳細図である。 本開示の一実施形態による、基板の光学的検査のための装置の概略図である。 本開示の一実施形態による、検査デバイスの概略図である。 本開示の一実施形態による、基板の光学的検査のためのシステムの概略図である。 本開示の一実施形態による、基板をインラインで光学的に検査するための方法を示すフローチャートである。 本開示の一実施形態による、基板の位置をマスク要素に対して位置合わせするためのシステムの概略図である。 2つ以上の真空クラスタチャンバと、この真空クラスタチャンバのうちの1つ以上に接続された複数の処理チャンバとを有する本開示の実施形態による、真空処理システムの概略図である。 本開示の実施形態による図10Aの真空処理システムの概略図であり、真空処理システム内における例示の基板のトラフィックまたは基板の流れを示す。
ここで、本開示の様々な実施形態を詳細に参照し、そのうちの1つ以上の例を図面中に示す。図面に関する以下の説明の中で、同じ参照番号は同じ構成要素を指している。個々の実施形態に関しては、相違点についてのみ説明する。本開示の説明用として各実施例が提供されているが、各実施例は、本開示を限定することを意図するものではない。さらに、一実施形態の一部として図示または説明されている特徴は、さらなる実施形態を創出するために、他の実施形態で使用されることも、他の実施形態と併用されることも可能である。本記載には、こうした修正例及び変形例が含まれることが意図されている。
本明細書に記載の実施形態は、例えばディスプレイ製造用の大面積被覆基板を検査するのに利用することができる。本明細書に記載の装置及び方法の構成対象である基板又は基板受容領域は、例えば1mまたはそれを上回る大きさを有する、大面積基板であり得る。例えば、大面積の基板またはキャリアは、約0.67mの基板(0.73×0.92m)に相当するGEN4.5、約1.4mの基板(1.1m×1.3m)に相当するGEN5、約4.29mの基板(1.95m×2.2m)に相当するGEN7.5、約5.7mの基板(2.2m×2.5m)に相当するGEN8.5であることができ、または、約8.7mの基板(2.85m×3.05m)に相当するGEN10でさえもあり得る。GEN11及びGEN12などのさらに大型の世代、並びに、それに相当する基板面積も、同様に実装され得る。例えば、OLEDディスプレイ製造に関しては、GEN6を含む、上記の各世代の基板の半分の大きさを、材料蒸発用装置の蒸発によって被覆することができる。基板の世代の半分の大きさ、というのは、ある処理が基板の大きさ全体に対して行われ、後続の処理が既に処理された基板の半分に対して行われることに起因し得る。
本明細書において「基板(substrate)」という用語は、具体的には、例えばウエハや、サファイアなどの透明結晶体の薄片や、またはガラスプレートといった、実質的に非フレキシブルな基板を、含んでいてよい。しかし、本開示はそれらに限定されるわけではなく、「基板」という語は、ウェブや箔などのフレキシブル基板も包含し得る。「実質的に非フレキシブルな(substantially inflexible)」という文言は、「フレキシブル」とは相違すると理解される。具体的には、0.5mm以下の厚さを有するガラスプレートといった実質的に非フレキシブルな基板は、ある程度の可撓性を有し得るが、実質的に非フレキシブルな基板の可撓性は、フレキシブル基板と比較して小さい。
基板は、材料堆積に好適な任意の材料で作製されていてよい。例えば、基板は、ガラス(例えばソーダ石灰ガラス、ホウケイ酸ガラスなど)、金属、ポリマー、セラミック、複合材料、炭素繊維材料、または、堆積処理によって被覆され得る他の任意の材料もしくは材料の組み合わせからなる群から選択された材料で、作製されていてよい。
図1は、垂直位置で基板を処理するための製造システム1000を示す。本開示による装置、システム、及び方法は、こうした製造システム1000または同様の製造システムの一部であってよい。とりわけ、製造システム1000は、水平基板ハンドリングチャンバ1020に接続されているロードロックチャンバ1010を含んでいる。基板は、ガラスハンドリングチャンバ1020から真空スイングモジュール1030まで移送することができ、基板は、ある水平位置でキャリア上にローディング(搭載)される。基板を水平位置でキャリア上にローディングした後、真空スイングモジュール1030は、上に基板が置かれたキャリアを、垂直または基本的に垂直な配向に回転する。
上に基板が置かれたキャリアは、次に、基本的に垂直な配向を有する、第1の回転/移送チャンバ1040及び少なくとも1つのさらなる回転/移送チャンバ(1041−1045)を通って、移送される。回転/移送チャンバ(1040−1045)内では、基板は、処理チャンバから受容されるときに、例えば90°、180°、270°、または360°回転されてよく、垂直位置に維持された状態で、別の真空チャンバに移送されてよい。これらの回転/移送チャンバには、1つ以上の堆積装置1050を接続することができる。さらに、他の基板処理チャンバまたは他の真空チャンバを、回転/移送チャンバのうちの1つ以上に接続することができる。
図10A及び図10Bに関して記載されるように、回転チャンバは、クラスタチャンバまたは真空回転モジュールとも呼ばれてよい。本明細書に記載の実施形態によると、2つ以上のクラスタチャンバ、即ち回転チャンバまたは真空回転チャンバが、インライン(直列)配設で設けられていてよい。
上に基板を有するキャリアは、基板の処理後には、回転/移送チャンバから真空スイングモジュール1030内に、またはオプションでさらなる真空スイングモジュール1031内に、垂直の配向で移送される。言い換えれば、処理済み基板は、真空スイングモジュール1030に返送されるか、さらなる真空スイングモジュール1031からなるシステムのオプション部分1070を通るかして、システムから出ることができる。真空スイングモジュール1030またはさらなる真空スイングモジュール1031は、上に基板を有するキャリアを、垂直配向から水平配向に回転し得る。その後、基板は、ガラスハンドリングチャンバ1020内、またはさらなる水平ガラスハンドリングチャンバ1021内へと、アンロード(取り外し)され得る。処理済み基板は、例えば、製造されたデバイスが薄膜封止チャンバ1060または1061のうちの1つの中で封止された後に、例えばロードロックチャンバ1010またはロードロックチャンバ1011といったロードロックチャンバを通って、処理システム1000からアンロードされ得る。
処理チャンバは、真空チャンバまたは真空堆積チャンバであってよい。本明細書において、「真空(vacuum)」という語は、例えば10mbar未満の真空圧を有する、工業的真空の意味に理解され得る。装置1000は、真空チャンバの内部に真空を生成するために真空チャンバに接続されたターボポンプ及び/またはクライオポンプといった、1つ以上の真空ポンプを含み得る。
ある実施形態によれば、また図1に示すとおり、システムのうちのインライン搬送システムの部分を提供するためのラインに沿って、1つ以上の回転/移送チャンバ1040−1045が設けられている。
図2は、基板10上にOLEDを製造するための堆積システムの概略図を示しており、一方で図3A及び図3Bは、処理チャンバ内における層堆積中に基板キャリア11上で基板10を、またマスクキャリア21上でマスク20を、支持するための保持用構成体40の一例を示す。基板10及びマスク20は、基本的に垂直の位置で維持されている。
図2に示すとおり、OLEDを製造するために、堆積源30によって有機分子を提供し(例えば、蒸発させ)、基板10上に堆積することができる。マスク20を含むマスク構成体が、基板10と堆積源30との間に配置される。マスク20は、例えば複数の開口部または孔22によって設けられた特定のパターンを有しており、それによって、有機分子が(例えば経路32に沿って)開口部または孔22を通過して、基板10上に有機化合物のパターン層または膜が堆積される。例えば、種々の色特性を有するピクセルを生成するために、種々のマスク、または基板10に対するマスク20の種々の位置を使用して、基板10上に、複数の層または膜が堆積され得る。一例として、第1の層または膜が堆積されて赤色ピクセル34を生成することが可能であり、第2の層または膜が堆積されて緑色ピクセル36を生成することが可能であり、第3の層または膜が堆積されて青色ピクセル38を生成することが可能である。層または膜、例えば有機材料が、アノードとカソードといった2つの電極(図示せず)間に配設されていることができる。この2つの電極のうちの少なくとも1つの電極は、透明であり得る。
基板10及びマスク20は、堆積プロセス中に垂直配向で配設され得る。図2では、矢印が、垂直方向Y及び水平方向Xを示している。本開示全体を通じて、「垂直方向(vertical direction)」または「垂直配向(vertical orientation)」という用語は、「水平方向(horizontal direction)」または「水平配向(horizontal orientation”)」と区別すべきものとして理解される。即ち、「垂直方向」または「垂直配向」は、例えば、保持用構成体及び基板の、実質的に垂直な配向に関連しており、正確な垂直方向または垂直配向からの何度か(例えば、10°まで、または15°までも)のずれは、依然として「ほぼ垂直な方向」または「ほぼ垂直な配向」と見なされる。垂直方向は、実質的に重力に平行であり得る。
図3Aは、本明細書に記載の実施形態によるシステム及び装置内で使用され得る、処理チャンバ内での層堆積中に基板キャリア11とマスクキャリア21を支持するための、保持用構成体40の概略図を示している。図3Bは、図3Aに示す保持用構成体40の側面図を示している。
垂直動作式ツールで使用される位置合わせシステムは、処理チャンバの外部から、即ち外気側(atmospheric side)から、機能することができる。位置合わせシステムは、例えば処理チャンバの壁を通って延在する剛性アームを用いて、基板キャリア及びマスクキャリアに接続されていることができる。真空の外にある位置合わせシステムの場合、マスクキャリアまたはマスクと基板キャリアまたは基板との間の機械的パスは長くなっており、それによってシステムが、外的な干渉(振動、加熱など)及び許容誤差の影響を受けやすくなっている。
さらにまたは代わりに、真空チャンバ内には、位置合わせシステムのアクチュエータが含まれていてよい。その結果、剛性アームの長さは削減され得る。例えば、マスクキャリア用の軌道と基板キャリア用の軌道との間に、基板キャリア及びマスクキャリアに機械的に接触していてよいアクチュエータが、少なくとも部分的に設けられていることができる。
保持用構成体40は、基板キャリア11とマスクキャリア21のうちの少なくとも1つに接続可能な2つ以上の位置合わせ用アクチュエータを含んでいてよく、保持用構成体40は基板キャリア11を第1の平面内に、または第1の平面と平行に支持するように構成されており、2つ以上の位置合わせ用アクチュエータのうちの第1の位置合わせ用アクチュエータ41は、基板キャリア11とマスクキャリア21を、互いに対して少なくとも第1の方向Yに動かすように構成されていてよく、2つ以上の位置合わせ用アクチュエータのうちの第2の位置合わせ用アクチュエータ42は、基板キャリア11とマスクキャリア21を互いに対して少なくとも第1の方向Y及び第1の方向Yと異なる第2の方向Xに動かすように構成されていてよく、第1の方向Yと第2の方向Xは、第1の平面内にある。2つ以上の位置合わせ用アクチュエータは、「位置合わせブロック」とも呼ばれることができる。その結果、位置合わせブロック即ち位置合わせ用アクチュエータは、マスク20に対する基板10の位置を変更することができる。例えば、マスクキャリア及び/または基板キャリアは、粒子の生成を削減するため、処理領域内で、空中浮揚した状態で搬送することができる。処理領域内では、マスクキャリア及び基板キャリアは、1つ以上の位置合わせ用アクチュエータによって機械的に接触されていることができる。
図3Bに示すように、マスク20は、マスクキャリア21に取り付けられていることができ、保持用構成体40は、特に層堆積中に、基板キャリア11とマスクキャリア21のうちの少なくとも1つ、特に基板キャリア11とマスクキャリア21の両方を、実質的に垂直の配向で支持するように構成されている。図3Bに示す矢印のとおり、堆積は、方向Zに沿って行われる。
2つ以上の位置合わせ用アクチュエータを使用して、基板キャリア11とマスクキャリア21を互いに対して少なくとも第1の方向Y及び第2の方向Xに動かすことによって、基板キャリア11を、マスクキャリア21またはマスク20に対して位置合わせすることが可能であり、堆積層の品質を改善することが可能である。
基板10に対するマスク20の位置の調整の実施に関しては、位置合わせブロックを作動させることによって、正しい位置合わせに対する差異またはずれの可能性をチェックするための光学的検査が実施され得る。上記のように、この目的のためにフィドゥーシャルの基準点が考慮に入れられてよい。フィドゥーシャルは、例えば中心部に円形のむき出しの銅(bare copper)がある、例えばソルダーマスクの開口であってよい、パターン認識マーカーである。例えば、フィドゥーシャルは、基板/マスク要素のコーナーエッジ付近に配置されており、検知した画像を保存されている情報データと比較する画像検知システムを用いて認識される。基板に対するフィドゥーシャルの位置(例えばシステムのメモリに保存されている)を測定することによって、正確な配置を確保するために基板に対してどの部品(例えばマスク)をどれだけ動かせば良いかという程度を計算することが可能になる。
図4は、本開示による装置及びシステムで用いられる、例えば種々の特性を持つピクセルを有するデバイス12を形成するために、有機材料の堆積用のマスク20に連結された、基板10の一実施例を示す。図4に示すように、堆積前に基板10をマスク20に対して位置合わせするため、マスク20には、コーナーにフィドゥーシャル22が設けられている。
図5は、本開示の一実施形態による光学的検査のための装置50を示す。装置50は、少なくとも第1の処理チャンバ51及び第2の処理チャンバ52内で処理される基板10を光学的に検査するように構成されていることができる。装置50は、第1の処理チャンバ51と第2の処理チャンバ52の間で、第1の処理チャンバ51内で処理された基板10の光学的検査を実施するための、検査デバイス60を含み得る。言い換えれば、装置50は、例えば基板10のインライン処理システムの搬送経路、即ち第1の処理チャンバ51と第2の処理チャンバ52の間の搬送経路に沿って配置されていることができる。
図5の第1の処理チャンバ及び第2の処理チャンバ内の破線10’及び10’’は、装置50が処理済み基板を第1の処理チャンバ51から受容し得ることと、この基板が第2の処理チャンバ52に移送されることを示している。第1の処理チャンバ51と装置50との間に、さらなる中間チャンバが設けられていてよい。同様に、装置50と第2の処理チャンバ52の間に、さらなる中間チャンバ設けられていてよい。有利には、装置50は、少なくとも処理チャンバ51に後続する位置で、且つ処理チャンバ52に先行する位置に、配置されている。図1を参照すると、装置50は、最後の処理チャンバ1050とオプションの真空スイングモジュール1031との間の、ラインの端部に配置されていてよい。装置50がラインの端部に位置しているケースでは、光学的検査は、真空チャンバ、即ち回転/移送チャンバの中で実施され得る。こうして、処理済み基板は、有機材料の堆積/蒸発中と同じ圧力条件下で光学的に検査される。
光学的検査は、処理後に行われる。したがって、処理済み基板10に対して実施される。例えばダミー基板を処理するといった、生産ラインを中断することは必要ではなく、検査は、実際の処理済み基板に対して(即ち、ダミーで堆積した基板に対してではなく)、実施され得る。堆積中の基板10に対するマスク20の位置合わせに影響するパラメータが、考慮に入れられ得る。具体的には、本開示による光学的検査のための装置50は、実際の基板に対するピクセルの位置の正確性をチェックする。光学的検査は、具体的には、静置している処理済み基板10に対して実施され得る。即ち、処理済み基板10は、検査デバイス60に対して静置されている。
本明細書では、「処理済み基板」という用語は、少なくとも処理、例えば(有機または無機の)層の材料の堆積といった処理を受けた基板であって、少なくともマスク要素が基板に連結されており、マスク要素が特定のパターンを有し、材料の粒子がそのパターンを通過して基板の所望の部分に層の材料を堆積する、基板を意図している。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、検査デバイス60は、基本的に垂直の位置における処理済み基板10の光学的検査用に構成されていることができる。
有利には、処理済み基板10は、第1の処理チャンバ51から装置50へと通過することによって、大きな配向の変換または基板のスイングを経験しない。即ち、処理済み基板10は、基本的に垂直の位置に留まる。こうして、光学的検査は、基板10の処理後に、不要な遅延を一切伴わずに、実施され得る。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、検査デバイス60は、オフセットマスク値であって、マスク要素20に対する基板10の相対位置に相当するオフセットマスク値を、検知することができる。
本開示による検査デバイス60を用いて処理済み基板10のオフセットマスク値を検出することによって、堆積中の基板10に対するマスク20の位置合わせを制御することが可能になっている。もしこのオフセット値が所定の許容誤差値を超える位置ずれにつながっている場合、装置50は、この情報を先行の処理チャンバ(即ち第1の処理チャンバ51)に対してフィードバックするように構成されていることができる。このフィードバックは、位置合わせブロックに対して、検知されたオフセットマスク値を補償するように作用することができる。
この所定の許容誤差値は、検知されたオフセットマスク値が、最終製品にとってなお許容可能とみなされ得るようにして、またはオフセットマスク値が最終製品にとって許容不可であるようにして、設定されていてよい。どちらのケースでも、先行の処理チャンバ内に存在する基板キャリア上またはマスクキャリア上の位置合わせ用アクチュエータが、検知されたオフセットを補償するために作動される。しかし、第1のケースでは、光学的に検査された基板は、生産プロセスを完了するため、最終的にはさらなる処理チャンバへと移送され得る。一方、第2のケースでは、光学的に検査された基板は、あるチャンバへと移送され、そこで廃棄され得る。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、検査デバイス60は、少なくとも、処理済み基板10を照らすための光源62、基板10の少なくとも一部の1つ以上の画像を撮るための1つ以上の画像撮像デバイス64、及び撮像した画像を処理するための処理デバイス66を備えていることができる。これは、図6で概略的に示されている。
少なくとも、光源62及び画像撮像デバイス64は、調査すべき処理済み基板10の一部を正確に照らし、その画像を撮像するために、決められた位置に従って、基板10の処理済みの表面の前に配置されていることができる。さらにまたは代わりに、光ファイバーによって、基板との間で、入射光及び測定する光信号を案内することができる。
光源62は、白熱光源、蛍光光源、IR光源、UV光源、またはLED(白、赤、緑、青)光源のうちの任意のものを含んでいることができる。種々の光条件を実施するために、異なる性質を持ち、処理済み基板10に対して異なる位置に配置された、複数の(2つ以上の)光源62が用いられてよい。例えば、1つ以上の光源は、レーザーであり得る。
画像撮像デバイス64は、処理済み基板10の一部をスキャンすることが可能な、写真用カメラまたはビデオカメラであり得る。検査デバイス60は、単一の画像撮像デバイス64を有するシングルカメラシステムか、または複数の画像撮像デバイス64を有するマルチカメラシステムを備えていることができる。具体的には、本開示の一実施形態による検査デバイス60は、4つの画像撮像デバイス64を備える。本明細書に記載の他の実施形態と組み合わされ得るある実施形態によると、検査デバイスは、可視光カメラ、UVカメラ、及び/またはIRカメラといった、カメラを含んでいることができる。
処理デバイス66は、画像撮像デバイス64によって撮像された画像を分析し、光源62の照明条件を制御する。したがって、処理デバイス66は、光源と画像撮像デバイス64とに接続された処理ユニット、例えばCPUを備えていてよい。具体的には、処理デバイス66は、例えばオフセットマスク値を通じて処理済み基板10の品質に関する情報データを取得するため、撮像された画像を保存されているデータまたは別の撮像された画像と比較し得る。処理デバイスは、取得した情報データを第1の処理チャンバ51へと戻し得る。この情報は、位置合わせブロックに対して作用し得る。この点に関して、位置合わせブロックには、装置50から情報データを受信する、専用のコントロールユニットが設けられている。コントロールユニットは、基板10に対するマスク20の位置を調整するための、基板キャリア及び/またはマスクキャリア上の位置合わせ用アクチュエータを、直接制御し得る。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、検査デバイス60は、少なくとも、蛍光光を検知するための光学装置68をさらに備えていることができる。具体的には、光学装置68は、フィルタを用いて蛍光光を分離するフィルタ式の蛍光光度計か、または、回折格子式単色光分光器を用いて蛍光光を分離する分光蛍光光度計を備えていることができる。有機材料の蛍光特性によって、処理済み基板10を蛍光光で照らすことができ、CCDカメラモジュールといった専用のデバイスを用いて画像が補足されてよい。その結果、ピクセルパターンはより正確に特定され得る。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、検査デバイス60は、真空条件下で維持されている処理済み基板10を検査するように配置されていることができる。有利には、当該真空条件は、第1の処理チャンバ51におけるものと同一である。したがって、光学的検査中の処理済み基板10に対する圧力条件は、基板上への有機層の堆積中の圧力条件と、同様または同一である。
さらなる実施形態によると、基板10が真空条件下で維持されている一方、例えば光源62及び画像撮像デバイス64といった検査デバイス60のいくつかの構成要素は、通常の空気圧条件またはより低い真空条件下にある、分離された空間内に位置していてよい。有利には、検査デバイス60のこれらの構成要素の維持手順は、容易にされるであろう。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、検査デバイス60は、インラインの検査システムであることができる。インラインの検査システムは、処理ライン内における、即ち2つの処理動作の間における、検査を提供する。ラインの終端における検査と比較すると、フィードバック遅延時間を削減することができる。フィードバック遅延時間を削減することによって、処理システムの生産量の向上につながる。
その結果、装置50は、基板上に有機膜を堆積するための生産ライン内に挿入されていてよい。再び図5を参照すると、処理済み基板10が装置50の検査デバイス60によって光学的に検査されている間、第1の処理チャンバ51内では、新たな基板(例えば10’)が処理されていることができるか、処理されるところである。同時に、第1の処理チャンバ51内で既に処理され、そのあと装置50の検査デバイス60によって検査される先行の基板(例えば10’’)は、第2の処理チャンバ52内でさらに処理されていることができるか、または処理されるところである。
有利には、装置50によって実施される光学的検査の結果は、第1の処理チャンバ51内での処理中に、例えば位置合わせ用パラメータといった堆積パラメータを調整するために、リアルタイムで用いることができる。
本明細書で使用される場合、「リアルタイムで」という用語は、基板10の処理後、例えば基板10上への有機層の堆積後、ただちに、光学的検査が実施され得ることを意図している。その結果、マスクオフセット値に関するフィードバックが、処理チャンバ、例えば対応する位置合わせ用アクチュエータに、より少ない処理後の遅延で送信され得る。また、フィードバックは、その特定の有機層の堆積に関するものであるだろう。例えば、フィードバックは、基板上への有機層の堆積から約1分から5分後に、処理チャンバに送信されてよい。
図7は、本開示の一実施形態によるシステム70を示す。システム70は、少なくとも、基板10(図示せず)を処理するための第1の処理チャンバ71及び第2の処理チャンバ72を含み得る。システム70は、さらに、第1の処理チャンバ71から処理済み基板10を受容し、処理済み且つ光学的検査済みの基板10を第2の処理チャンバ72へと移送するための、移送チャンバ73を備えていることができる。具体的には、移送チャンバ73には、第1の処理チャンバ71内で処理された基板10の光学的検査を実施するための、検査デバイス(図示せず)が設けられている。
システム70の検査デバイスは、図5及び図6に示した検査デバイス60の役割を果たし得る。言い換えれば、移送チャンバ73は、光学的検査のための上記の装置50を備えていてよい。その結果、上記の検査デバイス60(及び装置50)の特徴及び利点は、システム70の検査デバイスにもまた該当している。
例えば、光学的検査は、処理後に移送チャンバ73内にある、処理済みの(実際の)基板10に対して実施され得る。具体的には、検査デバイスは、基本的に垂直位置における処理済み基板10の光学的点検用に構成されていることができる。検査デバイスは、マスク要素20に対する基板10の相対位置に相当するオフセットマスク値を、検知することができる。検査デバイスは、少なくとも、処理済み基板10を照らすための光源、処理済み基板10の少なくとも一部の1つ以上の画像を撮るための1つ以上の画像撮像デバイス、及び撮像した画像を処理するための処理デバイスを備えていることができる。具体的には、検査デバイスは移送チャンバ内に配置されているため、第1の処理チャンバ71と同じ真空条件下で維持されている処理済み基板10を検査するようにして位置している。
図7に示すように、検査デバイスは、製造システムの中の移送チャンバ73内に位置している、インラインの検査システムであることができる。移送チャンバ73は、2つの回転チャンバ78の間に配置されていてよい。回転チャンバ78は、基板10が処理チャンバ(例えば71)から別の処理チャンバ(例えば72)へと通過するときに、例えば90°、180°、270°、または360°の角度で、基板10を回転するように構成されていてよい。回転は、基板10を基本的に垂直の位置に維持しながら行われる。システム70は、さらなる処理チャンバ74、76、及びさらなる移送チャンバ75、77を備えていてよく、処理済み基板10は、処理チャンバ(例えば71)から別の処理チャンバ(例えば72)へと、移送チャンバ(例えば73)及び回転チャンバ(例えば78)を通って搬送されることができる。処理チャンバ72、74、及び76は、基板10上への特定のタイプの有機層の堆積専用であってよい。例えば、処理チャンバ72は青色発光層(B−EML)の堆積用に、チャンバ74は緑色発光層(G−EML)の堆積用に、チャンバ76は赤色発光層(R−EML)の堆積用に、構成されていてよい。別の例として、処理チャンバ71は、電子輸送層(ETL)を堆積するように構成されていてよい。処理チャンバの品質は、堆積中または蒸発中の、専用のマスク要素20と基板10との間の正確な位置合わせに基づき得る。したがって、検査デバイスは、各堆積後の層の品質、即ちマスクオフセット値をチェックするために、各処理チャンバに後続するまたは直接後続する、移送チャンバ内に配置されていることができる。図7は、処理チャンバ71に後続し処理チャンバ72に先行する、移送チャンバ73内で光学的検査が実施されるシステム70を示す。しかし、本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、堆積後のパターニングの品質を制御する必要が生じたときには、光学的検査は、移送チャンバ75内、移送チャンバ77内、または処理チャンバに後続する任意の移送チャンバ内でもまた実施され得る。
有利には、例えばオフセットマスク値といった堆積パラメータを調整するためのフィードバックは、より少ない遅延時間で処理チャンバに戻されてよい。処理済み基板の品質は、例えば有機層の堆積から5分以内にチェックすることができる。堆積パラメータは、製品の完成処理を待たずして、最終的に調整され得る。光学的検査は、基板上への各層の堆積の後にもまた実施されてよい。こうして、単一の堆積層それぞれに関するパターニング品質を制御することもまた可能になっている。その結果、種々の別個の処理チャンバに関するオフセットマスク値が特定され得る。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、光学的検査は、2つの処理工程の後で、且つ別の(第3の)処理工程の前に、実施され得る。例えば、光学的検査は、2つの先行する処理工程の品質を評価し得る。こうした実施形態では、本明細書で使用されている「リアルタイムで」という用語は、基板10の第1の処理及び第2の処理の直後に、光学的検査が実施され得ることを意図している。例えば、フィードバックは、基板上への有機層の堆積から約2分から10分後に、処理チャンバに送信されてよい。
図8は、本開示の一実施形態による、処理済み基板10をインラインで光学的に検査するための方法100のフローチャートである。方法1000は、処理済み基板10を第1の処理チャンバ51から受容すること102と、堆積パラメータに従って、第1の処理チャンバ51内で処理された基板10の光学的検査を実施すること104と、処理済み基板10の品質に関する情報データを取得すること106と、当該情報データを第1の処理チャンバ51に戻すこと108と、第1の処理チャンバ51内における後続の基板の処理のために、この堆積パラメータを適応させること110とを含む。具体的には、本開示によるインラインの光学的検査は、基板10の第1の処理と第2の処理との間に実施され得る。
本開示による方法100は、光学的検査を実施することによって、堆積層と処理済み基板10に直接載っているバックプレーン(または基板)との間の位置合わせをチェックし得る。その結果、処理チャンバ内における堆積中の基板10に対するマスク要素20の位置合わせの、間接的制御が実施される。その結果、処理済み基板10の品質、即ち堆積層の品質に関する情報データが取得されるのである。位置合わせのチェックによって、堆積層の品質レベルが所定の許容値を下回ると判定される場合には、情報データは処理チャンバにフィードバックされ、処理チャンバにおいて、後続する基板の処理のために堆積パラメータが調整される。
「堆積パラメータ」とは、基板上への有機層の堆積中または蒸発中に関りを持つ、処理パラメータを表すことが意図されている。例えば、堆積パラメータは、マスク要素20の基板10に対する相対的アラインメントを含み得る。この相対的アラインメントは、マスク20の基板10に対する位置を変更するように構成された位置合わせ用アクチュエータを作動させることによって、変更または調整することができる。位置合わせ用アクチュエータは、マスクキャリア21及び/または基板キャリア11に連結されていてよい。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、光学的検査を実施すること104は、処理済み基板10を照らすこと112、処理済み基板10の少なくとも一部の画像を撮像すること114、及び種々の照明条件において撮られた処理済み基板10の画像を処理すること116を含み得る。
種々の照明条件において撮像された画像を処理すること116によって、例えばバックプレーンまたはその上に堆積した単一の有機層といった、処理済み基板10の種々の部分を識別することが可能になる。例えば種々の照明条件下で撮像された画像を相互に関連付けることによって、パターニング品質のチェックを実行することができる。この目的のため、照明は、空間的に種々の場所に配置されている複数の光源62、及び種々の性質を持つ光源を用いて、実施されてよい。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、処理済み基板10の検査された部分は、デバイスピクセル及び/またはコントロールピクセルを含んでいることができる。
こうして、種々のレベルにおいて、即ち、デバイスピクセルのレベルでは、特定されたバックプレーンの画像を特定されたピクセルデバイスの画像と相互に関連付けることによって、及び/または、コントロールピクセルのレベルでは、処理済み基板上の制御構造物をテストピクセル(例えばフィドゥーシャル)と相互に関連付けることによって、パターニング品質を制御することが可能になっている。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、情報データを取得すること106は、オフセットマスク値を計算することを含むことができ、前記オフセットマスク値は、基板10と堆積源30の間に配置されたマスク要素20に対するこの基板10の相対的位置に対応する。
その結果、計算されたオフセットマスク値を、処理チャンバ内における有機層の堆積中に基板10に対するマスク20のアラインメントを調整するための、フィードバックデータとして使用することができる。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、方法100は、複数の画像撮像デバイス64によって撮像された、処理済み基板10の複数の部分の画像からの情報データを平均することによって、基板10の平均オフセットマスク値を計算することをさらに含み得る。
このように、堆積層の品質に関するより正確な情報を得ることが可能になっている。実際、種々の(例えば4個の)画像撮像デバイス64を用いて処理済み基板10の種々の部分の画像(例えば処理済み基板の種々の部分における種々のピクセルデバイス)を撮像した結果得たデータを平均することによって、堆積層とバックプレーンとの間の位置合わせの完全なチェックを得ることができる。
複数の画像撮像デバイス64を用いることによって、同時点における処理済み基板10の種々の部分の画像であって、例えば同じ視点による画像を収集する利点につながり得る。これは、例えば、複数の画像撮像デバイス64が、処理済み基板10から同じ距離に同じ視野で配置されている場合に、得ることができる。代わりに、処理済み基板10または処理済み基板10の部分を種々の視点から撮像するため、複数の画像撮像デバイス64が、基板10から種々の距離に種々の視野で配置されていてもよい。例えば機械式アームなどを通じて処理済み基板10上を移動可能な単一の画像撮像デバイス64でも、同様の結果が得られてよい。さらに、光ファイバーまたは光ファイバーアレイを通じて、光信号を、画像撮像デバイスまで案内することができる。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、光学的検査を実施すること104は、少なくとも、蛍光性の照明下で処理済み基板10の画像を撮像することを含み得る。
例えば、バックプレーンは、通常の(白色の)照明を用いて特定することができ、ピクセルデバイスは、蛍光性の照明を用いて特定することができる。その結果、処理済み基板10の同じ部分の画像であるが、2つの異なる照明(例えば通常の照明と蛍光性の照明)を用いた2つの画像を撮像することによって、パターニング品質に関する情報を得るために互いに相互に関係づけられ得る、処理済み基板10の種々の要素を特定することが可能になっている。
図9は、基板10とマスク要素20が基本的に垂直の位置にある中において、基板10の位置を、当該基板10に連結されたマスク要素20に対して位置合わせするためのシステム80を示す。
システム80は、マスク要素20の基板10に対する相対的位置を光学的に検査するための、検査デバイス82を備える。マスク要素20は、処理チャンバ51内で基板10を処理するために使用されるのであり、検査デバイス82は、当該処理チャンバ51に後続して配置されている。さらに、システムは、対応するオフセットマスク値を計算するための処理デバイス84と、当該計算されたオフセットマスク値に応じて基板10に対するマスク要素20の位置を調整するための調整デバイス86と、を備える。具体的には、システム80は、後続の処理チャンバ(図示せず)に先行する位置に配置されていることができる。
調整デバイス86は、マスク20に対する基板10の位置を制御して変更するため、基板キャリア11の位置合わせ用アクチュエータ41、42に連結されていることができる。図9は、基板キャリア11の位置合わせ用アクチュエータ41、42と離れて位置している調整デバイス86を示す。しかし、本開示の代替実施形態によると、調整デバイス86は、処理デバイス84によって直接制御され得る位置合わせ用アクチュエータ41、42の片方または両方に一体化されていることができる。
システム80が、処理チャンバ51の外部に配置されていることは、留意されたい。処理チャンバ51内では、堆積は、矢印の方向に行われる。例えば有機材料といった材料が、例えば蒸発して、基板10上に堆積される。材料は、基板10の所望の部分においてマスク20の特定のパターンを通過し、例えばOLEDデバイス(またはOLEDデバイスの部分)を形成する。マスク20はマスクキャリア21によって保持され、基板10は基板キャリア11によって保持される。オフセットマスク値は、処理チャンバ51内で堆積が実行された後で、且つ基板10がさらなる処理チャンバに移送される前に、処理済み基板10を検査することによって計算される。この際、処理済み基板10は、常に真空条件下に維持される。図9は、処理チャンバ51内における堆積中と光学的検査中の両方で、基板10が同じ位置、即ち基本的に垂直の位置(方向Y)に配向されていることを示す。
システム80の検査デバイス82は、図5及び図6に記載の検査デバイス60の役割を果たし得る。その結果、上記の検査デバイス60(及び装置50)の特徴及び利点は、システム80の検査デバイス82にもまた該当している。具体的には、図9のシステム80は、図1のシステム1000または図7のシステム70といった、有機層を堆積するための製造システムの一部であってよい。具体的には、基板10の位置を当該基板10に連結されたマスク要素20に対して位置合わせするためのシステム80は、当該基板10を処理するためのチャンバに後続しているかまたは直接後続している、移送チャンバの内部に配置されていてよい。具体的には、システム80は、2つの処理チャンバの間に配置されていてよい。
こうして、処理チャンバ51内で処理される後続の基板用の堆積パラメータを最終的に調整するために、マスク20及び/または基板10の位置合わせ用アクチュエータ20に対して、リアルタイムで、即ち、例えば20分以下、または5分以下でさえある、従来型のシステムと比べてより短い遅延時間で、直接作用することが可能になっている。
本開示の種々の実施形態によると、少なくとも第1の処理チャンバ及び第2の処理チャンバ内で処理される基板の光学的検査のための装置は、真空処理システム内の様々な位置に、即ち、第1の処理チャンバと第2の処理チャンバの間の様々な位置に、設けることができる。
図10Aは、本開示の実施形態による真空処理システム1100を示す。真空処理システム1100は、クラスタ(塊状)配設とインライン配設の組み合わせを提供する。複数の処理チャンバ1120が設けられている。処理チャンバ1120は、真空回転チャンバ1130に接続されていることができる。真空回転チャンバ1130は、インライン配設で設けられている。真空回転チャンバ1130は、処理チャンバ1120に出入りする基板を回転することができる。クラスタ配設とインライン配設の組み合わせは、ハイブリッド配設であるとみなすことができる。ハイブリッド配設を有する真空処理システム1100は、複数の処理チャンバ1120に対応している。この真空処理システムの長さは、なお、特定の限度を超過していない。
本開示の実施形態によると、クラスタチャンバまたは真空クラスタチャンバは、2つ以上の処理チャンバに接続されるように構成された、例えば移送チャンバといったチャンバである。したがって、真空回転チャンバ1130は、クラスタチャンバの例となっている。クラスタチャンバは、ハイブリッド配設におけるインライン配設中に設けることができる。
真空回転チャンバまたは回転モジュール(本明細書では、「ルーティングモジュール」または「ルーティングチャンバ」とも呼ばれる)は、回転モジュール内の軌道上に配置された1つ以上のキャリアを回転することによって変更し得る1つ以上のキャリアの搬送方向を変更するように構成された、真空チャンバとして理解され得る。例えば、真空回転チャンバは、キャリアを支持するように構成された軌道を、回転軸、例えば垂直回転軸を中心にして回転するように構成された、回転デバイスを含んでいてよい。ある実施形態では、回転モジュールは、回転軸を中心にして回転され得る、少なくとも2つの軌道を含んでいる。回転軸の第1の側に、第1の軌道、具体的には第1の基板キャリア軌道が配設されていてよく、回転軸の第2の側に、第2の軌道、具体的には第2の基板キャリア軌道が配設されていてよい。
ある実施形態では、回転モジュールは、回転軸を中心に回転され得る、4つの軌道、具体的には2つのマスクキャリア軌道と2つの基板キャリア軌道を含む。
回転モジュールがX°の角度、例えば90°回転されるとき、軌道上に配設された1つ以上のキャリアの搬送方向は、X°の角度、例えば90°変更され得る。回転モジュールを180°回転することは、軌道を切り替えることに相当する。即ち、回転モジュールの第1の基板キャリア軌道の位置と、回転モジュールの第2の基板キャリア軌道の位置が、交代即ち交換されてよく、及び/または、回転モジュールの第1のマスクキャリア軌道の位置と、回転モジュールの第2のマスクキャリア軌道の位置が、交代即ち交換されてよい。
本開示では、互いに接続されているチャンバが参照される。接続されたチャンバ同士は、直接接続されていてよい。例えば、1つのチャンバのフランジが、隣接するチャンバのフランジに接続されていてよい。代わりに、チャンバ同士は、2つの隣接するチャンバ間に設けられた、例えば真空シールもしくは他の接続要素を提供するかまたはスリットバルブもしくは他の要素を提供する接続ユニットによって互いに接続されていてもよい。接続ユニットは、大面積基板の長さと比べて非常に短く、真空チャンバとは区別され得る。例えば、接続チャンバは、基板の長さの20%以下の長さを有する。本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、第1のチャンバが第2のチャンバに接続されていることは、例えば中間チャンバなしで、第1のチャンバが第2のチャンバに隣接しているとして理解され得る。上記のように、第1のチャンバは第2のチャンバに直接接続されていることができるか、または接続ユニットを介して接続されていることができる。
図10Aは真空処理システム1100を示しており、図10Bは真空処理システム内の基板のトラフィックを示している。基板は、例えば真空スイングモジュール1100において、真空処理システム1100に入る。さらなる変更形態によると、真空処理システム内で基板をロード及びアンロードするため、真空スイングモジュールに、ロードロックチャンバが接続されていてよい。真空スイングモジュールは、通常、デバイス製造工場のインターフェースから、直接またはロードロックチャンバ経由で基板を受容する。通常、インターフェースは、例えば大面積基板といった基板を、水平配向で供給する。真空スイングモジュールは、ファクトリインターフェースによって与えられた配向から基本的に垂直の配向へと、基板を動かす。基板の基本的に垂直の配向は、真空処理システム1100内における基板の処理中、基板が(例えば元の水平配向に)動かされるまで、維持される。基板をスイングする、ある角度で動かす、または回転することは、図10Bの矢印1191によって示されている。
本開示の実施形態によると、真空スイングモジュールは、第1の基板配向から第2の基板配向へと動かすための真空チャンバであってよい。例えば、第1の基板配向は水平配向といった非垂直配向であることができ、第2の基板配向は、垂直配向または基本的に垂直の配向といった非水平配向であることができる。本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、真空スイングモジュールは、中の基板を、水平配向に関する第1の配向と垂直配向に関する第2の配向に、選択的に位置決めするように構成された、基板位置変更チャンバであり得る。
基板は、例えば矢印1192で表されているように、バッファチャンバ1112(図10A参照)を通って移動する。基板は、真空回転チャンバ1130といったクラスタチャンバを通って、処理チャンバ1120内へとさらに移動する。図10A及び図10Bに関連して記載されるある実施形態によると、基板は、処理チャンバ1120−I内へと移動する。例えば、処理チャンバ1120−I内で、基板上にホール検査(inspection)層(HIL)が堆積され得る。
本開示では、具体的にはモバイルデバイス用の、OLEDフラットパネルディスプレイの製造が言及されている。しかし、同様の検討、実施例、実施形態、及び態様が、他の基板処理用途にも与えられてよい。OLEDのモバイルディスプレイの実施例に関しては、処理チャンバ1120−I内に、共通メタルマスク(CMM)が設けられている。CMMは、各モバイルディスプレイ用のエッジ除外マスクを提供する。各モバイルディスプレイは、開口が1つある状態でマスキングされており、ディスプレイ間のエリアに対応する基板上のエリアは、大部分がCMMによって覆われている。
続いて、基板は処理チャンバ1120から、隣接するクラスタチャンバ、例えば真空回転チャンバ1130内へ、さらに第1の移送チャンバ1182を通り、さらなるクラスタチャンバを通って、処理チャンバ1120−II内へと移動される。これは、図10Bの矢印1194によって示される。処理チャンバ1120−II内で、基板上にホール輸送層(HTL)が堆積される。ホール注入層と同様に、ホール輸送層は、モバイルディスプレイあたり1つの開口を有する共通メタルマスクを使って製造される。さらに、基板は処理チャンバ1120−IIから、隣接するクラスタチャンバ、例えば真空回転チャンバ1130内へ、さらに第2の移送チャンバ1184を通り、さらなるクラスタチャンバを通って、処理チャンバ1120−III内へと移動される。これは、図10Bのさらなる矢印1194によって示される。
移送チャンバまたは運送モジュールとは、少なくとも2つの他の真空モジュールまたは真空チャンバの間、例えば真空回転チャンバ同士の間に挿入することができる、真空モジュールまたは真空チャンバとして理解され得る。例えばマスクキャリア及び/または基板キャリアといったキャリアは、移送チャンバの長さ方向に、移送チャンバを通って搬送され得る。移送チャンバの長さ方向は、真空処理システムの主搬送方向、即ちクラスタチャンバのインライン配設の方向と一致していてよい。
処理チャンバ1120−III内で、基板上に電子ブロック層(EB)が堆積される。電子ブロック層は、ファインメタルマスク(FMM)を使って堆積され得る。ファインメタルマスクは、例えばミクロンのレンジの大きさの、複数の開口を有する。複数の微小開口は、モバイルディスプレイのピクセル、またはモバイルディスプレイのピクセルの色に対応する。その結果、FMM及び基板は、有益には、互いに対して高度に正確に位置合わせされており、ディスプレイ上のピクセルのアラインメントは、ミクロンのレンジになっている。
基板は、処理チャンバ1120−IIIから処理チャンバ1120−IVへ、続いて処理チャンバ1120−V、及び処理チャンバ1120−VIへと移動する。搬送経路のそれぞれに関して、基板は、処理チャンバから出て、例えば真空回転チャンバ内へ、さらに移送チャンバを通り、真空回転チャンバを通って次の処理チャンバへと、移動される。例えば、チャンバ1120−IV内には赤色ピクセル用のOLED層を堆積することができ、チャンバ1120−V内には緑色ピクセル用のOLED層を堆積することができ、チャンバ1120−VI内には青色ピクセル用のOLED層を堆積することができる。カラーピクセル用の層のそれぞれは、ファインメタルマスクを使って堆積される。それぞれのファインメタルマスクは異なっており、それによって、異なる色のピクセルドットが基板上で互いに隣接して1つのピクセルに見えるようになっている。処理チャンバ1120−VIから処理チャンバ1120−VIIへと延びているさらなる矢印1194によって示されているように、基板は、処理チャンバから出て、クラスタチャンバ内へ、さらに移送チャンバを通り、さらなるクラスタチャンバを通って後続の処理チャンバ内へと、移動され得る。処理チャンバ1120−VII内では、共通メタルマスク(CMM)を使って電子輸送層(ETL)が堆積されてよい。
1つの基板に関して記載されている上記の基板のトラフィックは、真空処理システム1100内で同時に処理される複数の基板に関しても同様である。本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、システムのタクトタイム、即ち期間は、例えば60秒から180秒といった、180秒以下であり得る。その結果、基板はこの期間内、即ち第1の例示的期間T内に処理される。上記の処理チャンバ内、及び以下に記載する後続の処理チャンバ内で、1つの基板が第1の期間T内で処理され、ちょうど処理が完了したばかりの別の基板が第1の期間T内に処理チャンバから外に移動され、処理されるべきさらなる基板が、第1の期間T内に処理チャンバ内へと移動される。1つの基板が各処理チャンバ内で処理され得る間に、2つのさらなる基板がその処理チャンバに関する基板のトラフィックに参加する。即ち、第1の期間Tの間に、1つのさらなる基板が各処理チャンバからアンロードされ、1つの基板が各処理チャンバ内にロードされる。
処理チャンバ1120−Iから処理チャンバ1120−VIIに至る、例示の基板の上記のルートは、真空処理システム1100の処理チャンバの列、例えば図10A及び図10Bの下列の中に設けられている。この列、即ち真空処理システムの下部は、図10Bの矢印1032によって示されている。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、基板は、真空処理システム内の一列または一部分において、真空処理システムのクラスタチャンバのインライン配設の一端から、真空処理システムのクラスタチャンバのインライン配設の反対端まで、ルート決めされ得る。インライン配設の反対端、例えば図10Aの右手側の真空回転チャンバ1130において、基板は、真空処理システム内のもう1つの列即ち残りの部分へと移送される。これは、図10Bの矢印1195によって示される。図10Bの矢印1034で表されている、真空処理システム内のもう1つの列即ち残りの部分において、基板は、クラスタチャンバのインライン配設の反対端からクラスタチャンバのインライン配設の一端、即ち開始端へと移動する間に、後続の処理チャンバ内で処理される。
図10Aに示す実施例では、例示の基板が処理チャンバ1120−VIIIに移動され、続けて処理チャンバ1120−IXに移動される。例えば、処理チャンバ1120−VIII内に、例えば上記の共通メタルマスクを使って、例示的にOLEDデバイスのカソードを形成し得る金属化層が堆積され得る。例えば、堆積モジュールのうちのあるものにおいては、アルミニウム、金、銀、銅のうちの1つ以上の金属が堆積され得る。少なくとも1つの材料は、透明な導電性の酸化物材料、例えばITOであってよい。少なくとも1つの材料は、透明な材料であってよい。その後、処理チャンバ1120−IX内で、例えば共通メタルマスクを使って、キャッピング層(CPL)が堆積される。
本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、さらなる処理チャンバ1120−Xが設けられ得る。例えば、この処理チャンバは、他の処理チャンバのうちの1つのメンテナンス中にこの処理チャンバに代替する、代用処理チャンバであることができる。
基板は、最後の処理の後、バッファチャンバ1112を経由して真空スイングモジュール1110、即ち基板位置変更チャンバへと移動され得る。これは、図10Bの矢印1193によって示される。基板は、真空スイングモジュール内で、処理用の配向、即ち基本的に垂直の配向から、工場とのインターフェースに対応する基板配向、例えば水平配向へと動かされる。
図10Aは、例えば回転チャンバといったクラスタチャンバ間に設けられている、移送チャンバを示す。図10Aは、第1の移送チャンバ1182及び第2の移送チャンバ1184を示す。隣接する処理チャンバまたは後続の処理チャンバとの距離を削減し、同様に真空処理システムの設置面積を削減することは、移送チャンバの長さの削減を示唆しているようにみえる。驚くべきことに、移送チャンバの長さを部分的に増大することによって、真空処理システム1100のタクトタイムが改善されることが分かっている。本書に記載の実施形態によると、真空処理システムは、少なくとも、第1の長さを持つ第1のタイプの移送チャンバ、即ち第1の移送チャンバ1182と、第1の長さとは異なる第2の長さを持つ第2のタイプの移送チャンバ、即ち第2の移送チャンバ1184を含む。
本開示の一実施形態によると、基板上に複数の層を堆積するための真空処理システムが提供され得る。真空処理システムは、第1の長さを有し且つ真空チャンバに接続された第1の移送チャンバと、真空チャンバに接続され且つ第1の長さよりも小さい第2の長さを有する第2の移送チャンバとを含む。
例えば、本明細書に記載の他の実施形態と組み合わされ得る本開示のある実施形態によると、基板を処理するための真空処理システムは、第1のクラスタチャンバに接続された第1の処理チャンバと、第1の処理チャンバ内で基板を処理するための第1の処理ステーションと、第2のクラスタチャンバに接続された第2の処理チャンバと、第1のクラスタチャンバ及び第2のクラスタチャンバに接続された第1の移送チャンバであって、第1のクラスタチャンバと第2のクラスタチャンバの間に延びる第1の長さを有し、基板を受け入れるようにサイズ決めされている、第1の移送チャンバと、第2のクラスタチャンバに接続された第2の移送チャンバであって、第1の長さよりも小さい第2の長さを有する、第2の移送チャンバと、基板搬送用構成体であって、基板を、垂直からの逸脱が15°以下である配向で、第1の処理チャンバ、第2の処理チャンバ、第1のクラスタチャンバ、第2のクラスタチャンバ、第1の移送チャンバ、及び第2の移送チャンバを通して送る、基板搬送用構成体とを含む。
第1の長さを有する第1の移送チャンバが、基板を受け入れることを可能にしている。第1の移送チャンバ内に、基板を留まらせることができる。基板を留まらせることによって、基板をすぐに利用可能にしておくことが可能になる。これによって、全体的なタクトタイムを削減することができる。第1の長さよりも小さい第2の長さを有する第2の移送チャンバによって、隣接する処理チャンバ間の、または後続の処理チャンバとの距離が削減される。さらにまたは代わりに、第1の長さよりも小さい第2の長さを有する第2の移送チャンバは、真空処理システムの設置面積を削減する。
上記に加えて、異なる長さを持つ2つのタイプの移送チャンバを有することによって、通常は所定の環境であるファクトリーホールの構造に対して設置面積を適合させることが可能になる。図10A及び図10Bは、ピラー1020を示す。ピラーは、製造用ホールによって設けられた境界条件であり、例えば、構造工学の計算を考慮して規定されている。異なる長さを持つ2つのタイプの移送チャンバを有することによって、さらに、真空処理システムの製造用ホールへの適合が可能になる。移送チャンバの長さを延長することによって、一列の中で隣接している2つの処理チャンバ間にピラー1020を有することが可能になり、留まる位置を設けることが可能になる。
驚くべきことに、本開示の実施形態の結果、設置面積の削減と、タクトタイムの削減と、製造用ホール内の構造条件への適合を含む、複数の利点の組み合わせが得られた。
本開示のさらなる特徴、変更形態、及び実施形態によると、真空処理システム、具体的には1つのシステム内で5つ以上、さらには10以上もの層を設ける真空処理システムの設置面積は、基板、特に大面積基板を基本的に垂直の配向にすることによって、削減することができる。
本開示による実施形態は、基本的に垂直の位置で維持されている処理済み基板に対して自動光学的検査を行うことによって、有機層の堆積中の、ファインメタルマスクといったマスク要素と基板との間の位置合わせを効率的にチェックするのが可能であることを含む、いくつかの利点を有している。さらに、本開示による実施形態は、生産ラインを中断することなく、有機層の堆積中に存在するのと同じ条件(例えば基板配向及び圧力)の下で、処理済み基板の光学的検査を実施するという利点を有する。加えて、本開示による実施形態は、ラインの終端における検査の場合と比べてより小さい遅延時間で、堆積層のパターニング品質に関するフィードバックを処理チャンバに送信するという利点を有する。また、本開示による実施形態は、このフィードバックが、所定の処理チャンバ内における特定の層の堆積に関するものであるという利点を有する。フィードバック遅延時間の削減と、特定の堆積層のパターニング品質をチェックするのが可能である結果、この処理システム及び処理方法による生産量の向上がもたらされる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなしに、本開示の他の実施形態及びさらなる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 少なくとも第1の処理チャンバ及び第2の処理チャンバ内で処理される基板の光学的検査のための装置であって、
    前記第1の処理チャンバと前記第2の処理チャンバの間で、前記第1の処理チャンバ内で処理された前記基板に対する光学的検査を実施するための検査デバイスを含む、装置。
  2. 前記デバイスが、基本的に垂直な位置における基板の前記光学的検査のために構成されている、請求項1に記載の装置。
  3. 前記検査デバイスがオフセットマスク値を検知し、前記オフセットマスク値が、マスク要素に対する前記基板の相対的位置に対応する、請求項1または2に記載の装置。
  4. 前記検査デバイスが、少なくとも、
    前記基板を照らすための光源と、
    前記基板の少なくとも一部の1つ以上の画像を撮像するための1つ以上の画像撮像デバイスと、
    撮像した前記画像を処理するための処理デバイスとを備える、請求項1から3のいずれか一項に記載の装置。
  5. 前記検査デバイスが、さらに、蛍光光を検知する光学装置68を少なくとも備える、請求項1から4のいずれか一項に記載の装置。
  6. 前記検査デバイスが、真空下に維持されている前記基板を検査するように位置している、請求項1から5のいずれか一項に記載の装置。
  7. 前記検査デバイスがインラインの検査システムである、請求項1から6のいずれか一項に記載の装置。
  8. 基板の光学的検査のためのシステムであって、
    少なくとも第1の処理チャンバ及び第2の処理チャンバ、並びに、前記基板を前記第1の処理チャンバから受容して前記基板を前記第2の処理チャンバに移送するための移送チャンバを少なくとも備え、
    前記移送チャンバには、前記第1の処理チャンバ内で処理された前記基板の光学的検査を実施するための検査デバイスが設けられている、システム。
  9. 基板のインライン光学的検査のための方法であって、
    前記基板を第1の処理チャンバから受容することと、
    堆積パラメータに従って、前記第1の処理チャンバ内で処理された前記基板の光学的検査を実施することと、
    前記基板の品質に関する情報データを取得することと、
    前記情報データを前記第1の処理チャンバに戻すことと、
    前記第1の処理チャンバ内における後続の基板の処理のために、前記堆積パラメータを適応させることとを含む、方法。
  10. 前記光学的検査を実施することが、
    前記基板を照らすことと、
    前記基板の少なくとも一部分の画像を撮像することと、
    種々の照明条件において撮像された前記基板の前記画像を処理することとを含む、請求項9に記載の方法。
  11. 前記基板の前記一部分が、デバイスピクセル及び/またはコントロールピクセルを含む、請求項10に記載の方法。
  12. 情報データを取得することが、オフセットマスク値を計算することを含み、前記オフセットマスク値が、前記基板と堆積源の間に位置するマスク要素に対する前記基板の相対的位置に対応する、請求項9から11のいずれか一項に記載の方法。
  13. 複数の画像撮像デバイスによって撮像された、前記基板の複数の部分の画像からの前記情報データを平均することによって、前記基板のオフセットマスク値を計算することをさらに含む、請求項12に記載の方法。
  14. 光学的検査を実施することは、少なくとも前記基板の画像を蛍光性の照明下で撮像することを含む、請求項9から13のいずれか一項に記載の方法。
  15. 基板の位置を前記基板に連結されたマスク要素に対して位置合わせするためのシステムであって、前記基板及び前記マスク要素は基本的に垂直の位置にあり、前記システムが、
    前記基板に対する前記マスク要素の相対的位置を光学的に検査するための検査デバイスであって、前記マスク要素は処理チャンバ内で前記基板を処理するために使用され、前記検査デバイスは、前記処理チャンバに後続して配置されている、検査デバイスと、
    対応するオフセットマスク値を計算するための処理デバイスと、
    前記計算されたオフセットマスク値に応じて、前記基板に対する前記マスク要素の前記位置の調整を行うための調整デバイス
    を含む、システム。
JP2019506691A 2018-03-12 2018-03-12 基板の自動光学的検査のための装置及び方法 Pending JP2020515704A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2018/056068 WO2019174710A1 (en) 2018-03-12 2018-03-12 Apparatus and method for an automated optical inspection of a substrate

Publications (1)

Publication Number Publication Date
JP2020515704A true JP2020515704A (ja) 2020-05-28

Family

ID=61628352

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019506691A Pending JP2020515704A (ja) 2018-03-12 2018-03-12 基板の自動光学的検査のための装置及び方法

Country Status (5)

Country Link
JP (1) JP2020515704A (ja)
KR (1) KR20190108553A (ja)
CN (1) CN110546297A (ja)
TW (1) TW201945562A (ja)
WO (1) WO2019174710A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020255752A1 (ja) * 2019-06-20 2020-12-24 住友化学株式会社 電子デバイスの製造方法
JP2021161488A (ja) * 2020-03-31 2021-10-11 キヤノントッキ株式会社 成膜装置、成膜方法、及び電子デバイスの製造方法
JP2021161489A (ja) * 2020-03-31 2021-10-11 キヤノントッキ株式会社 成膜装置、成膜方法、及び電子デバイスの製造方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115088092A (zh) 2020-01-22 2022-09-20 应用材料公司 Oled层厚度和掺杂剂浓度的产线内监测
JP7433449B2 (ja) 2020-01-22 2024-02-19 アプライド マテリアルズ インコーポレイテッド Oled層の厚さ及びドーパント濃度のインライン監視
CN111748767A (zh) * 2020-06-24 2020-10-09 武汉华星光电半导体显示技术有限公司 一种蒸镀装置及显示面板的制备方法
CN116773541A (zh) * 2022-06-15 2023-09-19 欧阳津 一种aoi自动光学无损检测设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009158328A (ja) * 2007-12-27 2009-07-16 Seiko Epson Corp 有機el装置の製造方法、有機el装置の検査方法
JP2013211139A (ja) * 2012-03-30 2013-10-10 Hitachi High-Technologies Corp 成膜装置及び成膜方法
US20160355924A1 (en) * 2015-06-08 2016-12-08 Applied Materials, Inc. Mask for deposition system and method for using the mask
US20170250379A1 (en) * 2016-11-28 2017-08-31 Applied Materials, Inc. Evaporation source having multiple source ejection directions

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2418545B1 (en) * 2010-08-12 2018-10-10 Applied Materials, Inc. Mask handling module
WO2012039383A1 (ja) * 2010-09-22 2012-03-29 株式会社アルバック 真空処理装置及び有機薄膜形成方法
US20120237682A1 (en) * 2011-03-18 2012-09-20 Applied Materials, Inc. In-situ mask alignment for deposition tools
KR101902604B1 (ko) * 2014-07-29 2018-09-28 세메스 주식회사 디스플레이 셀 검사 장치
JP6298108B2 (ja) * 2016-07-08 2018-03-20 キヤノントッキ株式会社 アライメントマークの検出方法、アライメント方法及び蒸着方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009158328A (ja) * 2007-12-27 2009-07-16 Seiko Epson Corp 有機el装置の製造方法、有機el装置の検査方法
JP2013211139A (ja) * 2012-03-30 2013-10-10 Hitachi High-Technologies Corp 成膜装置及び成膜方法
US20160355924A1 (en) * 2015-06-08 2016-12-08 Applied Materials, Inc. Mask for deposition system and method for using the mask
US20170250379A1 (en) * 2016-11-28 2017-08-31 Applied Materials, Inc. Evaporation source having multiple source ejection directions

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020255752A1 (ja) * 2019-06-20 2020-12-24 住友化学株式会社 電子デバイスの製造方法
JP2021161488A (ja) * 2020-03-31 2021-10-11 キヤノントッキ株式会社 成膜装置、成膜方法、及び電子デバイスの製造方法
JP2021161489A (ja) * 2020-03-31 2021-10-11 キヤノントッキ株式会社 成膜装置、成膜方法、及び電子デバイスの製造方法

Also Published As

Publication number Publication date
TW201945562A (zh) 2019-12-01
CN110546297A (zh) 2019-12-06
KR20190108553A (ko) 2019-09-24
WO2019174710A1 (en) 2019-09-19

Similar Documents

Publication Publication Date Title
JP2020515704A (ja) 基板の自動光学的検査のための装置及び方法
CN109554662B (zh) 位置检测装置、位置检测方法以及蒸镀装置
CN109722626A (zh) 对准装置和方法、成膜装置和方法及电子器件的制造方法
JP2020003469A (ja) 基板検査システム、電子デバイスの製造装置、基板検査方法、及び電子デバイスの製造方法
CN108624857A (zh) 基板载置方法和机构、成膜方法和装置、电子器件制造方法及有机el显示装置制造方法
JP2019189943A (ja) アライメント方法、これを用いた蒸着方法及び電子デバイスの製造方法
CN111128828B (zh) 吸附及对准方法、吸附系统、成膜方法及装置、电子器件的制造方法
JP2022059618A (ja) 成膜装置、電子デバイスの製造装置、成膜方法、及び電子デバイスの製造方法
KR20200125397A (ko) 얼라인먼트 장치, 성막 장치, 얼라인먼트 방법, 성막 방법 및 전자 디바이스의 제조 방법
JP2013095930A (ja) マスクアラインメント光学システム
KR102634162B1 (ko) 마스크 교환시기 판정장치, 성막장치, 마스크 교환시기 판정방법, 성막방법 및 전자 디바이스의 제조방법
CN110494587B (zh) 用于处理基板的方法、用于真空处理的设备和真空处理系统
KR102184501B1 (ko) 마스크 어레인지먼트를 핸들링하는 방법, 마스크 어레인지먼트의 광학 검사를 위한 기준 기판, 및 진공 증착 시스템
CN113106387B (zh) 成膜装置及电子器件的制造方法
CN113005418B (zh) 对准装置和方法、成膜装置和方法及电子器件的制造方法
TW201939789A (zh) 真空處理系統及操作一真空處理系統之方法
KR102582574B1 (ko) 얼라인먼트 장치, 성막 장치, 얼라인먼트 방법, 전자 디바이스의 제조 방법, 프로그램, 및 기억 매체
JP7092850B2 (ja) アライメント装置、成膜装置、アライメント方法、成膜方法、電子デバイスの製造方法
WO2019192677A1 (en) Carrier for supporting a substrate or a mask
WO2023210464A1 (ja) 成膜装置、成膜方法、電子デバイスの製造方法、およびコンピュータプログラム記録媒体
WO2023238478A1 (ja) 成膜装置、成膜方法、アライメント装置及びアライメント方法
JP7450493B2 (ja) マスクアライメント方法、成膜方法、マスクアライメント装置、及び成膜装置
KR102501615B1 (ko) 성막 장치, 성막 방법, 및 전자 디바이스의 제조방법
CN115341178A (zh) 成膜装置、存储介质、位置检测精度评价方法、成膜装置调整方法及电子器件的制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200623

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210209