JP2020511590A - ドーパント種をワークピース上に堆積する堆積方法、ドーパント種をワークピース内に注入する注入方法及びワークピースを処理するワークピース処理方法 - Google Patents

ドーパント種をワークピース上に堆積する堆積方法、ドーパント種をワークピース内に注入する注入方法及びワークピースを処理するワークピース処理方法 Download PDF

Info

Publication number
JP2020511590A
JP2020511590A JP2019522472A JP2019522472A JP2020511590A JP 2020511590 A JP2020511590 A JP 2020511590A JP 2019522472 A JP2019522472 A JP 2019522472A JP 2019522472 A JP2019522472 A JP 2019522472A JP 2020511590 A JP2020511590 A JP 2020511590A
Authority
JP
Japan
Prior art keywords
workpiece
dopant species
plasma chamber
working gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019522472A
Other languages
English (en)
Other versions
JP6915057B2 (ja
Inventor
サリミアン シアマック
サリミアン シアマック
ガオ キ
ガオ キ
エル メイナード ヘレン
エル メイナード ヘレン
Original Assignee
ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド, ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド filed Critical ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Publication of JP2020511590A publication Critical patent/JP2020511590A/ja
Application granted granted Critical
Publication of JP6915057B2 publication Critical patent/JP6915057B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • H01J2237/3365Plasma source implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

本発明によれば、所望のドーパント種を含む調整ガスを用いて、プラズマチャンバの内面に最初にコーティングを行うワークピース処理方法を開示する。次いで、所望のドーパント種を含まないワーキングガスを導入するとともに活性化してプラズマを形成する。このプラズマを用いて内面から所望のドーパント種をスパッタリングさせる。このドーパント種をワークピース上に堆積させる。次いで、後続の注入処理を実行してドーパントをワークピース内に注入する。この注入処理には熱処理か、又は構造におけるノック処理か、或いはこれらの双方を含めることができる。

Description

関連出願の相互参照
本出願は、2010年11月8日に出願された出願番号62/419,010の米国特許仮出願の優先権を主張するものであり、その米国特許仮出願の開示内容は、参照することによりその全体がここに導入されるものである。
本明細書に開示する実施例は、固体ドーパント源を用いてワークピース(被加工物)にドーピングする方法に関するものであり、特にプラズマチャンバの内面上に予め堆積したドーパントを用いてワークピースにドーピングする方法に関するものである。
半導体のワークピースにはしばしば、所望の導電性を得るためにドーパント種が注入される。プラズマ注入は、半導体デバイスの表面をドーピングする又は改質するのに有効な方法である。例えば、プラズマ注入は、側壁とも称する垂直面にドーピングするのに有効としうる。
新たに生じた多くの種類の半導体デバイス構造は、FinFET、3D(3次元)NANDゲート、CIS及びパワーデバイスを含む側壁改善を利用している。ある実施例では、低濃度の又は更に極めて低濃度としたドーパント又はその他の種を用いて側壁を改善するのが望ましいとしうる。
しかし、プラズマチャンバは代表的により高いドーパント濃度で注入を実行するのが更に良好に適しているものである。従って、ある実施例では極めて低いドーズ量での精度及び再現性は問題となるおそれがある。
従って、正確な量のドーパントを堆積しその後にワークピース内に注入させる方法が有益なものとなる。
本発明によれば、所望のドーパント種を含む調整ガスを用いて最初にプラズマチャンバの内面にコーティングを行うワークピース処理方法を開示する。次に、この所望のドーパント種を含まないワーキング(作動)ガスを導入するとともに活性化(励起)してプラズマを形成する。このドーパント種をワークピース上に堆積する。次に、ドーパントをワークピース内に注入する後続の注入処理を実行しうる。この注入処理には、熱処理、又は構造におけるノック処理(knock in mechanism)、或いはこれらの双方を含めることができる。
本発明の一実施例によれば、ドーパント種をワークピース上に堆積する堆積方法を開示する。この堆積方法は、ドーパント種を有する調整ガスをイオン源のプラズマチャンバ内に導入する工程及び前記ドーパント種を個体形態で有するコーティングを前記プラズマチャンバの内面上に形成するために、前記調整ガスを前記プラズマチャンバ内でイオン化する工程を有する調整処理を実行するステップと;前記コーティングが形成された後に、前記ドーパント種を有さないワーキングガスを前記プラズマチャンバ内に導入する工程及び前記ワーキングガスを前記プラズマチャンバ内でイオン化してイオンを生ぜしめるとともに前記コーティングをスパッタリングして、前記ドーパント種が前記ワークピース上に堆積されるようにする工程を有する堆積処理を前記調整処理後に実行するステップと;を具えるようにする。ある実施例では、前記調整処理中は、前記ワークピースを前記プラズマチャンバ内に配置しないようにする。又、ある実施例では、前記ワーキングガスが不活性ガスを有するようにする。前記ドーパント種は第3族元素又は第5族元素とすることができる。
本発明の他の実施例によれば、ドーパント種をワークピース内に注入する注入方法を開示する。この注入方法は、ドーパント種を有する調整ガスをイオン源のプラズマチャンバ内に導入する工程及び前記ドーパント種を個体形態で有するコーティングを前記プラズマチャンバの内面上に形成するために、前記調整ガスを前記プラズマチャンバ内でイオン化する工程を有する調整処理を実行するステップと;前記ドーパント種が前記ワークピース上に堆積されるように前記コーティングをスパッタリングするステップと;前記ドーパント種を前記ワークピース内に注入するステップと;を具えるようにする。ある実施例では、前記注入するステップが前記ワークピースを熱処理に曝す工程を有するようにする。又、ある実施例では、前記コーティングをスパッタリングするステップが、前記ドーパント種を有さないワーキングガスを、前記コーティングが形成された後に前記プラズマチャンバ内に導入する工程;及び前記ワーキングガスを前記プラズマチャンバ内でイオン化してイオンを生ぜしめるとともに前記コーティングをスパッタリングして、前記ドーパント種が前記ワークピース上に堆積されるようにする工程を有するようにする。又、ある実施例では、前記注入するステップが、前記ワーキングガスのイオンが前記ドーパント種を打ち込むように前記ワークピースを負にバイアスする工程を有するようにする。又、ある実施例では、前記負にバイアスする工程の後に前記ワークピースを熱処理に曝すようにする。又、ある実施例では、前記ワークピースを前記熱処理に曝す工程の前に前記ワークピースの上にキャッピング層を堆積するようにする。
本発明の更に他の実施例によれば、ワークピースを処理するワークピース処理方法を提供する。このワークピース処理方法は、ワークピースをプラズマチャンバ内に配置する工程と;前記ワークピースが前記プラズマチャンバ内に配置されている間に前記プラズマチャンバ内でワーキングガスを活性化することによりプラズマを生ぜしめ、このプラズマが前記プラズマチャンバの内面にコーティングされたドーパント種をスパッタリングさせるとともに前記ワークピース上に堆積させるようにする工程と;を有するようにする。ある更なる実施例では、前記ワークピース上に堆積された前記ドーパント種をこのワークピース内に注入させるようにする。この注入処理には、構造におけるノック処理、又は熱処理、或いはこれらの双方の処理を含めることができる。
本発明を更に良好に理解するために、参考で導入する添付図面を参照して本発明を以下で説明する。
図1は、本発明の一実施例によるイオン源を示す線図である。 図2は、調整及び堆積処理を示す代表的な流れ図である。 図3Aは、一実施例による注入処理を示す流れ図である。 図3Bは、他の一実施例による注入処理を示す流れ図である。 図3Cは、更に他の一実施例による注入処理を示す流れ図である。
図1は、本発明に対して用いうるイオン源100のプラズマチャンバ105の一実施例の断面図を示す線図である。このイオン源100は、アルミニウ、グラファイト又はその他の適切な材料から構成しうるプラズマチャンバの幾つかの壁部により画成されたプラズマチャンバ105を有する。このプラズマチャンバ105には、1つ以上のフィードガス容器170内に貯蔵した1種類以上のフィードガスを供給することができ、このフィードガスはガスバッフル175を介してこのプラズマチャンバ105に入れる。プラズマチャンバ105内へのフィードガスの流れを調整するのに質量流量コントローラ171を用いることができる。このフィードガスはRFアンテナ120又はその他のプラズマ発生機構により活性化させることができる。RFアンテナ120は、このRFアンテナ120に電力を供給するRF電源121と電気的に通信するようになっている。RFアンテナ120とプラズマチャンバ105の内部との間には、石英又はアルミナの窓のような誘電体窓125を配置することができる。
ワークピース10はプラズマチャンバ105内でプラテン130上に配置する。このプラテン130はバイアス電源135と電気的に通信するようになっており、このバイアス電源135は、ある期間の間プラテン130を負にバイアスして正イオンがワークピース10に向けて引き付けられるようにするのに用いられる。プラテン130を保護するために、このプラテン130の周囲を囲むように遮蔽リング140を配置することができる。
バイアス電源135と、プラズマチャンバ105内へのフィードガス及びワーキングガスの流れを制御する質量流量コントローラ171とに、コントローラ160が通信するようにしうる。このコントローラ160には、マイクロコントローラ、パーソナルコンピュータ、特殊用途のコントローラ又はその他の適切な処理ユニットのような処理ユニットを含めることができる。又、コントローラ160には、半導体メモリ、磁気メモリ又はその他の適切なメモリのような非一過性の記憶素子を含めることもできる。この非一過性の記憶素子には、コントローラ160が本明細書に記載した機能を実行するようにする命令及びその他のデータを含めることができる。
ドーズ量注入を極めて低くしうるこれらの注入を実行する方法では幾つかの処理を用いるものである。この方法は調整処理(コンディショニングプロセス)を実行することにより開始する。調整処理は、フィードガスのイオンを生ぜしめる処理である。しかし、これらのイオンはワークピースに注入又は指向させるよりはむしろ、プラズマチャンバ105の内面上に堆積させる。ある実施例では、ワークピース10がプラズマチャンバ105内に配置されていない間に調整処理を実行する。又、ある実施例では、調整処理中にプラテン130上に“ダミーのウエハ”を配置してこのプラテン130が堆積から保護されるようにすることができる。換言すれば、処理すべきワークピースは調整処理中にプラズマチャンバ105内に配置されないようにする。
調整処理中、フィードガスをフィードガス容器170からプラズマチャンバ105内に導入する。RF電源121を用いてRFアンテナ120を附勢してプラズマチャンバ105内にプラズマ180を生ぜしめる。
フィードガスには、ワークピース10内に注入すべきドーパント種を含める。ドーパント種は、例えば、ホウ素のような第3族元素、リン又は砒素のような第5族元素、又は炭素のような第4族元素とすることができる。これらのフィードガスは、AsH3 、B2 6 、CH4 又はその他の種とすることができる。ある実施例では、ドーパントの水素化物を用いることができる。他の実施例では、ドーパントのハロゲン化形態を用いることができる。ある実施例では、少なくとも1つのモノレイヤーがプラズマチャンバ105の内面上に堆積されるようにフィードガスを選択する。
フィードガスの分子はプラズマチャンバ105の種々の内面のうちの1つ以上の上に堆積させることができる。これらの内面には、遮蔽リング140、プラズマチャンバ壁107、誘電体窓125、ガスバッフル175及びその他の表面を含めるが、これらに限定されるものではない。
調整処理の終了後に、所望のドーパントの1つ又は複数の層をプラズマチャンバ105の内面上に堆積した。ある実施例では、プラズマチャンバ105の内面上に堆積したコーティング厚を数十〜数百ミクロンとすることができる。この堆積を後続の注入処理に対する固体ドーパント源として用いる。換言すると、調整処理はドーパント種を個体形態でプラズマチャンバ105の内面上に堆積するのに役立つものである。この固体形態のドーパント種は後にワークピース内に注入されるものである。
調整処理に対する持続時間は変えることができ、数秒又は数分とすることができるが、他の持続時間を用いることもできる。他の実施例では、コーティング厚の閉ループ制御を採用しうる。例えば、所望のコーティング厚が達成された場合を、水晶微量天秤を用いて決定しうる。
堆積処理は調整処理後に実行する。堆積処理に際しては、プラズマチャンバ105内にワーキングガスを導入する。このワーキングガスはフィードガスとは異なる容器内に貯蔵し且つガスバッフル175を介して又は別の入口を介してプラズマチャンバ105内に入るようにすることができる。
従来の堆積及び注入処理とは相違し、堆積及び注入処理に際しプラズマチャンバ105内に導入するワーキングガスにはドーパント種を含めない。このワーキングガスは、プラズマチャンバ105の内面から固体ドーパントを除去するのに有効な種とすることができる。幾つかの例の適切なワーキングガスには、アルゴン、ネオン、ヘリウム、クリプトン及びキセノンのような不活性ガスを含める。他の適切なワーキングガスには、ヘリウム、ゲルマン等を含めることができる。ワーキングガスとしては他の種も用いうること勿論である。
調整処理中に行ったように、RF電源121を用いてRFアンテナ120を附勢してプラズマチャンバ105内にプラズマ180を生ぜしめる。プラズマ安定化期間又は“注入前のプラズマオンタイム”と称される初期期間中は、プラテン130をバイアス電源135により負にバイアスしない。しかし、プラズマ180は予めプラズマチャンバ105の内面上に堆積したコーティングをスパッタリングする作用をする。スパッタリングされた材料の一部はワークピース10上に堆積される。上述したように、このスパッタリングされた材料には所望のドーパント種を個体の形態で含んでいる。
所望のドーパント種を個体の形態で含む材料をスパッタリングしてワークピース10上に堆積するようにするこの一連の処理工程を図2に示す。処理工程200に示すように、最初に、所望のドーパントを含むフィードガスをプラズマチャンバ105内に導入する。このフィードガスを、処理工程210に示すように活性化してプラズマとする。この活性化は、ワークピースがプラズマチャンバ105内に配置されていない間に行う。この処理工程210では、所望のドーパントを含んだコーティングがプラズマチャンバ105の内面上に堆積される。充分な量のコーティングが内面上に堆積されると、処理工程220に示すようにプラズマを消滅させる。処理工程210中に堆積されたコーティングは後の処理中にワークピース内に注入される固体ドーパント源となる。処理工程200〜220は調整処理の全ての部分である。
プラズマを消滅させた後、処理工程230に示すように、注入を行う必要があるワークピース10をプラズマチャンバ105内に配置する。ワークピース10を配置した後、処理工程240に示すように、ワーキングガスをプラズマチャンバ105内に導入する。上述したように、このワーキングガスには注入すべき所望のドーパントを含めない。次いで、処理工程250に示すように、ワークピース10を負にバイアスしない間にワーキングガスを活性化してプラズマを形成する。“注入前のプラズマオンタイム”と称されるこの期間中、高速イオン(energetic ions)がコーティングをプラズマチャンバ105の内面からスパッタリングさせる作用をする。このコーティングの一部がワークピース10上に降下する。従って、図2の処理工程230〜250に示す一連の処理が、予めプラズマチャンバ105の内面にコーティングした所望のドーパントをワークピース上に堆積させる方法を表している。
従って、ある実施例では、ワークピースが負にバイアスされていない際にドーパント種の堆積が生じ、プラズマチャンバ内に導入されるワーキングガスはドーパント種を有しないようにする。更に、上述したように、堆積されたドーパント種の供給源は、予めプラズマチャンバの内面上にコーティングを形成した固体形態の状態にする。ワークピース上に堆積するドーパント種の厚さは変えることができる。ある実施例では、このドーパント種の厚さは、所望のドーパント濃度に応じて1つ以上のモノレイヤーを有するようにしうるが、他の厚さを採用することもできる。
所望のドーパントがワークピース10上に堆積された時点で、ドーパントをワークピース10内に注入しうるようにする方法は幾つか存在する。図3A〜3Cに異なる数種類の注入処理を示す。これらの注入処理は例示的であり、他の注入処理をも用いうることを理解されたい。
図3Aは、所望のドーパントをワークピース内に注入するのに用いうる第1の一連の処理工程を示す。この実施例でも依然として、ワーキングガスをプラズマチャンバ105内に導入するものであり、このワーキングガスは活性化し続ける。注入処理を実行するために、処理工程300に示すように、プラテン130を負にバイアスしてプラズマ180内の正イオンをワークピース10に向けて引き付けるようにする。これらの正イオンは、処理工程310に示すように、ワークピース10の表面上に予め堆積しておいた所望のドーパントにおいてノック処理するように作用する。この処理は、所望のドーズ量に応じて1秒以上続けることができる。この処理を終了させた後に、処理工程320に示すようにプラズマを消滅させる。次に、プラズマチャンバ105からワークピース10を除去することができる。この実施例では、図2及び3Aに示す全ての処理工程が単一のプラズマチャンバ105内で実行される。従って、堆積されたドーパントにおいてノック処理するのに用いるイオンはワーキングガスから生ぜしめられとともに、ドーパント種を含まない。
図3Bは、ドーパントをワークピース内に注入するのに用いうる第2の一連の処理工程を示す。この実施例では、処理工程300〜320において示すように図3Aの構造におけるノック処理を実行する。その後、随意ではあるが、処理工程330において示すように、ワークピース10の上面上にキャッピング層を形成しうる。このキャッピング層は酸化物層、窒化物層又はその他の適切な任意の層を有するようにしうる。他の実施例では、ワークピース10上にキャッピング層を形成しない。この場合、処理工程340に示すように、ドーパントをワークピース10内に打ち込む作用をする熱処理にこのワークピースを曝す。キャッピング層の形成及び熱処理は、所望に応じ、処理工程200〜250及び処理工程300〜320とは異なる処理チャンバ内で実行しうる。
図3Cは、ドーパントをワークピース内に注入するのに用いうる第3の一連の処理工程を示す。この実施例では、図3A及び3Bの構造におけるノック処理は実行しない。その代り、処理工程330に示すように随意のキャッピング層の形成処理及び処理工程340に示すように熱処理を実行する。これらの処理は、所望に応じ、処理工程200〜250とは異なる処理チャンバ内で実行しうる。
処理工程340に示す熱処理は、アニール処理、レーザアニール、フラッシュアニール又はその他の任意の適切な処理を含む任意の従来の熱処理とすることができる。
図2及び図3A〜3Cの注入処理は、単一のワークピースを処理するのに用いる処理工程を示している。複数のワークピースを処理する場合には、この処理を種々の方法で達成しうる。例えば、ある実施例では、注入処理すべき各ワークピースに対し調整処理を実行する。換言すれば、処理工程200〜250と、図3A〜3Cの1つに示す処理工程のような注入処理とを各ワークピースに対して実行する。他の実施例では、調整処理をあまり頻繁に行わないでもかまわない。例えば、処理すべきN個のワークピース毎に処理工程200〜220を一度のみ実行しうる。換言すれば、第1のワークピースに対し処理工程200〜250を実行し、これに続いて注入処理を行う。次のN−1個のワークピースに対しては処理工程230〜250を実行し、これに続いて注入処理を行う。Nの値は本発明では限定するものではなく、2のような小さい値にも100以上の大きな値にもすることができる。
換言すれば、ある実施例では、ワークピースをプラズマチャンバ内に配置することにより堆積処理が開始されるようにする。ドーパント種を含まないワーキングガスをプラズマに活性化する。このプラズマが、予めプラズマチャンバの内面上に堆積されているドーパント種をワークピース上に堆積させるようにする。このことは、ワークピースを負にバイアスすることなく実行することができる。このことは図2の処理工程230〜250において反映されている。ドーパント種が堆積された後、図3A〜3Cに示す何れかの注入処理を用いてワークピース内に注入処理を行うことができる。
本明細書に記載した実施例は多くの利点を有している。例えば、一実施例では、本明細書に記載した処理を用いることにより5e12原子/cm2 及び5e16原子/cm2 間のような低いドーズ量の注入を実行しうる。又、1つの特定の例では、1e13原子/cm2 の低ドーズ量の注入を実行した。調整時間と、注入前のプラズマオンタイムと、注入時間との何れかを変えるか又はこれらの任意の組合せを行うことにより他のドーズ量を実行しうること勿論である。プラズマチャンバの内面上に予めコーティングされた固体形態のドーパントを使用することにより、特に低ドーズ量で正確なドーパント濃度を可能にすることができる。
本発明の開示範囲は、本明細書に記載した特定の実施例により限定されるべきものではない。実際に、本明細書に記載した実施例に加えて、本発明の他の種々の実施例及び本発明に対する変形例が、前述した記載及び添付図面から当業者にとって明らかとなるであろう。従って、このような他の実施例及び変形例は本発明の範囲内に入ることが意図されるものである。更に、本発明は特定の目的のための特定の環境における特定の実施の関係で開示したが、その有用性はこれに限定されず且つ本発明は種々の目的に対する種々の環境において有利に実行しうることを当業者は認識しうるであろう。従って、本発明の特許請求の範囲は、本明細書に開示した本発明の全容及び精神を考慮して解釈すべきである。
半導体のワークピースにはしばしば、所望の導電性を得るためにドーパント種が注入される。プラズマ注入は、半導体デバイスの表面をドーピングする又は改質するのに有効な方法である。例えば、プラズマ注入は、側壁とも称する垂直面に有効にドーピングすることができる
新たに生じた多くの種類の半導体デバイス構造は、FinFET、3D(3次元)NANDゲート、CMOSイメージセンサー(CIS及びパワーデバイスを含む側壁改善を利用している。ある実施例では、低濃度の又は更に極めて低濃度としたドーパント又はその他の種を用いて側壁を改善するのが望ましいとしうる。
本発明によれば、所望のドーパント種を含む調整ガスを用いて最初にプラズマチャンバの内面にコーティングを行うワークピース処理方法を提供する。次に、この所望のドーパント種を含まないワーキング(作動)ガスを導入するとともに活性化(励起)してプラズマを形成する。このドーパント種をワークピース上に堆積する。次に、ドーパントをワークピース内に注入する後続の注入処理を実行しうる。この注入処理には、熱処理、又は構造におけるノック処理(knock in mechanism)、或いはこれらの双方を含めることができる。
本発明の一実施例によれば、ドーパント種をワークピース上に堆積する堆積方法を提供する。この堆積方法は、ドーパント種を有する調整ガスをイオン源のプラズマチャンバ内に導入する工程及び前記ドーパント種を個体形態で有するコーティングを前記プラズマチャンバの内面上に形成するために、前記調整ガスを前記プラズマチャンバ内でイオン化する工程を有する調整処理を実行するステップと;前記コーティングが形成された後に、前記ドーパント種を有さないワーキングガスを前記プラズマチャンバ内に導入する工程及び前記ワーキングガスを前記プラズマチャンバ内でイオン化してイオンを生ぜしめるとともに前記コーティングをスパッタリングして、前記ドーパント種が前記ワークピース上に堆積されるようにする工程を有する堆積処理を前記調整処理後に実行するステップと;を具えるようにする。ある実施例では、前記調整処理中は、前記ワークピースを前記プラズマチャンバ内に配置しないようにする。又、ある実施例では、前記ワーキングガスが不活性ガスを有するようにする。前記ドーパント種は第3族元素又は第5族元素とすることができる。
本発明の他の実施例によれば、ドーパント種をワークピース内に注入する注入方法を提供する。この注入方法は、ドーパント種を有する調整ガスをイオン源のプラズマチャンバ内に導入する工程及び前記ドーパント種を個体形態で有するコーティングを前記プラズマチャンバの内面上に形成するために、前記調整ガスを前記プラズマチャンバ内でイオン化する工程を有する調整処理を実行するステップと;前記ドーパント種が前記ワークピース上に堆積されるように前記コーティングをスパッタリングするステップと;前記ドーパント種を前記ワークピース内に注入するステップと;を具えるようにする。ある実施例では、前記注入するステップが前記ワークピースを熱処理に曝す工程を有するようにする。又、ある実施例では、前記コーティングをスパッタリングするステップが、前記ドーパント種を有さないワーキングガスを、前記コーティングが形成された後に前記プラズマチャンバ内に導入する工程;及び前記ワーキングガスを前記プラズマチャンバ内でイオン化してイオンを生ぜしめるとともに前記コーティングをスパッタリングして、前記ドーパント種が前記ワークピース上に堆積されるようにする工程を有するようにする。又、ある実施例では、前記注入するステップが、前記ワーキングガスのイオンが前記ドーパント種を打ち込むように前記ワークピースを負にバイアスする工程を有するようにする。又、ある実施例では、前記負にバイアスする工程の後に前記ワークピースを熱処理に曝すようにする。又、ある実施例では、前記ワークピースを前記熱処理に曝す工程の前に前記ワークピースの上にキャッピング層を堆積するようにする。
ドーズ量注入を極めて低くしうるこれらの注入を実行する方法では幾つかの処理を用いるものである。この方法は調整処理(コンディショニングプロセス)を実行することにより開始する。調整処理は、フィードガスのイオンを生ぜしめる処理である。しかし、これらのイオンはワークピースに注入又は指向させるよりはむしろ、プラズマチャンバ105の内面上に堆積させる。ある実施例では、ワークピース10がプラズマチャンバ105内に配置されていない間に調整処理を実行する。又、ある実施例では、調整処理中にプラテン130上に“ダミーのウエハ”を配置してこのプラテン130がその上に堆積されることから保護されるようにすることができる。換言すれば、処理すべきワークピースは調整処理中にプラズマチャンバ105内に配置されないようにする。

Claims (15)

  1. ドーパント種をワークピース上に堆積する堆積方法であって、この堆積方法が、
    ドーパント種を有する調整ガスをイオン源のプラズマチャンバ内に導入する工程及び
    前記ドーパント種を個体形態で有するコーティングを前記プラズマチャンバの内面上に形成するために、前記調整ガスを前記プラズマチャンバ内でイオン化する工程
    を有する調整処理を実行するステップと、
    前記コーティングが形成された後に、前記ドーパント種を有さないワーキングガスを前記プラズマチャンバ内に導入する工程及び
    前記プラズマチャンバ内で前記ワーキングガスをイオン化してイオンを生ぜしめるとともに前記コーティングをスパッタリングして、前記ドーパント種が前記ワークピース上に堆積されるようにする工程
    を有する堆積処理を前記調整処理後に実行するステップと
    を具える堆積方法。
  2. 請求項1に記載の堆積方法において、前記調整処理中は、前記ワークピースを前記プラズマチャンバ内に配置しないようにする堆積方法。
  3. 請求項1に記載の堆積方法において、前記ワーキングガスが不活性ガスを有するようにする堆積方法。
  4. ドーパント種をワークピース内に注入する注入方法であって、この注入方法が、
    ドーパント種を有する調整ガスをイオン源のプラズマチャンバ内に導入する工程及び
    前記ドーパント種を個体形態で有するコーティングを前記プラズマチャンバの内面上に形成するために、前記調整ガスを前記プラズマチャンバ内でイオン化する工程
    を有する調整処理を実行するステップと、
    前記ドーパント種が前記ワークピース上に堆積されるように前記コーティングをスパッタリングするステップと、
    前記ドーパント種を前記ワークピース内に注入するステップと
    を具える注入方法。
  5. 請求項4に記載の注入方法において、前記注入するステップが前記ワークピースを熱処理に曝す工程を有するようにする注入方法。
  6. 請求項5に記載の注入方法において、前記ワークピースを熱処理に曝す工程の前にこのワークピースの上にキャッピング層を堆積するようにする注入方法。
  7. 請求項4に記載の注入方法において、前記コーティングをスパッタリングするステップが、
    前記ドーパント種を有さないワーキングガスを、前記コーティングが形成された後に前記プラズマチャンバ内に導入する工程及び
    前記ワーキングガスを前記プラズマチャンバ内でイオン化してイオンを生ぜしめるとともに前記コーティングをスパッタリングして、前記ドーパント種が前記ワークピース上に堆積されるようにする工程
    を有するようにする注入方法。
  8. 請求項7に記載の注入方法において、前記注入するステップが、前記ワーキングガスのイオンが前記ドーパント種を打ち込むように前記ワークピースを負にバイアスする工程を有するようにする注入方法。
  9. 請求項8に記載の注入方法において、この注入方法が更に、前記負にバイアスする工程の後に前記ワークピースを熱処理に曝す工程を有するようにする注入方法。
  10. 請求項9に記載の注入方法において、前記負にバイアスする工程の後で且つ前記熱処理に曝す工程の前に前記ワークピースの上にキャッピング層を堆積するようにする注入方法。
  11. 請求項7に記載の注入方法において、前記ワーキングガスが不活性ガスを有するようにする注入方法。
  12. ワークピースを処理するワークピース処理方法において、このワークピース処理方法が、
    ワークピースをプラズマチャンバ内に配置する工程と、
    前記ワークピースが前記プラズマチャンバ内に配置されている間に前記プラズマチャンバ内でワーキングガスを活性化することによりプラズマを生ぜしめ、このプラズマが前記プラズマチャンバの内面にコーティングされたドーパント種をスパッタリングさせるとともに前記ワークピース上に堆積させるようにする工程と
    を有するワークピース処理方法。
  13. 請求項12に記載のワークピース処理方法において、前記ワーキングガスが不活性ガスを有するようにするワークピース処理方法。
  14. 請求項12に記載のワークピース処理方法において、このワークピース処理方法が更に、前記ワークピース上に堆積された前記ドーパント種を注入させる工程を有しているワークピース処理方法。
  15. 請求項12に記載のワークピース処理方法において、前記ワーキングガスは前記ドーパント種を有さないようにするワークピース処理方法。
JP2019522472A 2016-11-08 2017-09-20 ドーパント種をワークピース上に堆積する堆積方法、ドーパント種をワークピース内に注入する注入方法及びワークピースを処理するワークピース処理方法 Active JP6915057B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662419010P 2016-11-08 2016-11-08
US62/419,010 2016-11-08
US15/459,416 US10460941B2 (en) 2016-11-08 2017-03-15 Plasma doping using a solid dopant source
US15/459,416 2017-03-15
PCT/US2017/052479 WO2018089104A1 (en) 2016-11-08 2017-09-20 Plasma doping using a solid dopant source

Publications (2)

Publication Number Publication Date
JP2020511590A true JP2020511590A (ja) 2020-04-16
JP6915057B2 JP6915057B2 (ja) 2021-08-04

Family

ID=62064796

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019522472A Active JP6915057B2 (ja) 2016-11-08 2017-09-20 ドーパント種をワークピース上に堆積する堆積方法、ドーパント種をワークピース内に注入する注入方法及びワークピースを処理するワークピース処理方法

Country Status (6)

Country Link
US (1) US10460941B2 (ja)
JP (1) JP6915057B2 (ja)
KR (1) KR102319152B1 (ja)
CN (1) CN109923641B (ja)
TW (1) TWI749077B (ja)
WO (1) WO2018089104A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220100339A (ko) * 2021-01-08 2022-07-15 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
US20240006158A1 (en) * 2022-06-30 2024-01-04 Applied Materials, Inc. Co-doping to control wet etch rate of fcvd oxide layers

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19616627A1 (de) * 1996-04-26 1997-11-06 Dynamit Nobel Ag Anzündmischungen
JP4013674B2 (ja) * 2002-07-11 2007-11-28 松下電器産業株式会社 プラズマドーピング方法及び装置
TW200402769A (en) * 2002-08-02 2004-02-16 Varian Semiconductor Equipment Removal of plasma deposited surface layers by dilution gas sputtering
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
CN101160643B (zh) * 2005-05-12 2012-04-18 松下电器产业株式会社 等离子体掺入方法和等离子体掺入设备
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8501605B2 (en) * 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
KR101325773B1 (ko) 2011-10-20 2013-11-04 한양대학교 산학협력단 플라즈마 이온 주입 공정 이온 도즈량 모니터링 방법
US9006065B2 (en) 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8889534B1 (en) * 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9524849B2 (en) * 2013-07-18 2016-12-20 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US9034743B2 (en) * 2013-07-18 2015-05-19 Varian Semiconductor Equipment Associates, Inc. Method for implant productivity enhancement
US9384937B2 (en) * 2013-09-27 2016-07-05 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter
CN105849869B (zh) * 2013-11-26 2017-08-11 瓦里安半导体设备公司 处理工件的方法
US9677171B2 (en) * 2014-06-06 2017-06-13 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in a non-mass-analyzed ion implantation system
US9397011B1 (en) * 2015-04-13 2016-07-19 Lam Research Corporation Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper

Also Published As

Publication number Publication date
CN109923641A (zh) 2019-06-21
KR102319152B1 (ko) 2021-11-01
KR20190069589A (ko) 2019-06-19
TWI749077B (zh) 2021-12-11
TW201830458A (zh) 2018-08-16
WO2018089104A1 (en) 2018-05-17
JP6915057B2 (ja) 2021-08-04
US10460941B2 (en) 2019-10-29
CN109923641B (zh) 2022-11-29
US20180130659A1 (en) 2018-05-10

Similar Documents

Publication Publication Date Title
KR102503837B1 (ko) SiN 박막들의 형성
JP7293211B2 (ja) 高エネルギー原子層エッチング
US20160307772A1 (en) Spacer formation process with flat top profile
US6403453B1 (en) Dose control technique for plasma doping in ultra-shallow junction formations
JP6074796B2 (ja) 非平面な基板表面を有する基板を処理する方法
JP6068727B2 (ja) パルス状気体プラズマドーピング方法及び装置
TWI480932B (zh) 處理有非平坦表面的基材的方法
US9620407B2 (en) 3D material modification for advanced processing
US9379021B2 (en) Method to reduce K value of dielectric layer for advanced FinFET formation
US9818621B2 (en) Cyclic oxide spacer etch process
JP6537473B2 (ja) 被処理体を処理する方法
US20090227096A1 (en) Method Of Forming A Retrograde Material Profile Using Ion Implantation
TWI467621B (zh) 選擇性控制離子源之離子組成物之系統和方法
JP2020511590A (ja) ドーパント種をワークピース上に堆積する堆積方法、ドーパント種をワークピース内に注入する注入方法及びワークピースを処理するワークピース処理方法
JP2005277220A (ja) 不純物導入方法、不純物導入装置およびこの方法を用いて形成された半導体装置
TWI605497B (zh) 降低用於先進鰭式場效電晶體形成之介電層的k値之方法
TWI784271B (zh) 減少從經植入工件的氣體排放的方法
US9437432B1 (en) Self-compensating oxide layer
TW201526084A (zh) 處理工件的方法
JP2006032602A (ja) スパッタリング装置および方法
KR19990005815A (ko) 다결정 실리콘박막 형성방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190607

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190607

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210331

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210629

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210714

R150 Certificate of patent or registration of utility model

Ref document number: 6915057

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150