CN109923641B - 在工件沉积或植入掺杂物质的方法及处理工件的方法 - Google Patents

在工件沉积或植入掺杂物质的方法及处理工件的方法 Download PDF

Info

Publication number
CN109923641B
CN109923641B CN201780068648.4A CN201780068648A CN109923641B CN 109923641 B CN109923641 B CN 109923641B CN 201780068648 A CN201780068648 A CN 201780068648A CN 109923641 B CN109923641 B CN 109923641B
Authority
CN
China
Prior art keywords
workpiece
plasma chamber
dopant species
gas
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780068648.4A
Other languages
English (en)
Other versions
CN109923641A (zh
Inventor
赛梅克·莎里曼
高琦
海伦·L·梅纳德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN109923641A publication Critical patent/CN109923641A/zh
Application granted granted Critical
Publication of CN109923641B publication Critical patent/CN109923641B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • H01J2237/3365Plasma source implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

本文公开了一种在工件上沉积掺杂物质或在工件中植入掺杂物质的方法及处理工件的方法,其中首先使用含有所需掺杂物质的调节气体来涂布等离子室的内表面。随后引入不含有所需掺杂物质的工作气体并对其供应能量以形成等离子体。此等离子体用于溅镀来自内表面的所需掺杂物质。此掺杂物质沉积在工件上。随后可执行后续植入过程以将掺杂物植入工件中。植入过程可包含热处理、敲入机制或两者。

Description

在工件沉积或植入掺杂物质的方法及处理工件的方法
相关申请的交叉参考
本申请案主张2016年11月8日申请的美国临时专利申请案第62/419,010号的优先权,所述申请案的公开内容以全文引用的方式并入本文中。
技术领域
本公开的实施例涉及用于使用固定掺杂源掺杂工件的方法,且更具体地说,涉及一种在工件上沉积掺杂物质或在工件中植入掺杂物质的方法及处理工件的方法。
背景技术
半导体工件通常注入有掺杂物质以产生所需的导电性。等离子体植入为掺杂或另外修饰半导体器件的表面的有效方法。举例来说,等离子体植入可有效的掺杂垂直表面(也称为侧壁)。
许多类型的新兴半导体器件结构采用侧壁修饰,包含FinFET、3D与非门、互补金属氧化物半导体影像感测器(CMOS Image Sensor,CIS)及功率器件。在某些实施例中,可能需要修饰具有掺杂物或其它物质的较低或甚至极低浓度的侧壁。
然而,等离子室通常更适合于执行具有较高掺杂物浓度的植入。在极低剂量处的精确度及可重复性可能存在问题。
因此,允许精确量的掺杂物沉积并随后植入工件中的方法可能为有益的。
发明内容
本文公开了一种处理工件的方法,其中首先使用含有所需掺杂物质的调节气体来涂布等离子室的内表面。随后引入不含有所述所需掺杂物质的工作气体并对其供应能量以形成等离子体。所述等离子体用于溅镀来自所述内表面的所需掺杂物质。所述掺杂物质沉积在工件上。随后可执行后续植入过程以将所述掺杂物植入所述工件中。所述植入过程可包含热处理、敲入机制或两者。
根据一个实施例,公开了一种将掺杂物质沉积在工件上的方法。所述方法包括:执行调节过程,所述调节过程包括:将调节气体引入离子源的等离子室中,所述调节气体包括掺杂物质;以及使所述等离子室中的调节气体离子化以在等离子室的内表面上形成涂层,所述涂层包括呈固体形式的所述掺杂物质;以及在所述调节过程之后执行沉积过程,所述沉积过程包括:在形成所述涂层之后将工作气体引入等离子室中,其中所述工作气体不包括掺杂物质;以及使所述等离子室中的工作气体离子化以产生离子及溅镀所述涂层,以使得所述掺杂物质沉积在工件上。在某些实施例中,在所述调节过程期间所述工件不安置在等离子室中。在某些实施例中,所述工作气体包括惰性气体。所述掺杂物质可为第3族或第5族元素。
根据另一实施例,公开了一种将掺杂物质植入工件中的方法。所述方法包括:执行调节过程,所述调节过程包括:将调节气体引入离子源的等离子室中,所述调节气体包括掺杂物质;以及使所述等离子室中的调节气体离子化以在等离子室的内表面上形成涂层,所述涂层包括呈固体形式的所述掺杂物质;溅镀所述涂层以使得所述掺杂物质沉积在工件上;以及将所述掺杂物质植入工件中。在某些实施例中,所述植入包括使所述工件暴露于热处理。在某些实施例中,所述溅镀包括:在形成所述涂层之后将工作气体引入所述等离子室中,其中所述工作气体不包括所述掺杂物质;以及使所述等离子室中的工作气体离子化以产生离子及溅镀所述涂层,以使得所述掺杂物质沉积在所述工件上。在某些实施例中,所述植入包括消极地偏压所述工件以使得所述工作气体的离子敲入所述掺杂物质中。在某些其它实施例中,所述工件在消极偏压之后暴露于热处理。在一些实施例中,在将所述工件暴露于热处理前将罩盖层沉积于所述工件上。
根据另一实施例,公开一种处理工件的方法。所述方法包括:将工件置于等离子室中;以及当所述工件安置在所述等离子室时,通过对所述等离子室中的工作气体供应能量来产生等离子体,其中所述等离子体使得涂布所述等离子室的内表面的掺杂物质溅镀并沉积在所述工件上。在某些其它实施例中,沉积在所述工件上的所述掺杂物质植入所述工件中。所述植入过程可包含敲入机制、热处理或两个过程。
附图说明
为了更好地理解本发明,将参看附图,其以引用的方式并入本文中并且其中:
图1示出根据一个实施例的离子源;以及
图2为示出调节过程及沉积过程的代表性流程图;以及
图3A至图3C示出根据不同实施例的植入过程的流程图。
附图标号说明
10:工件;
100:离子源;
105:等离子室;
107:等离子室壁;
120:射频(RF)天线;
121:RF电力供应器;
125:介电窗口;
130:压板;
135:偏压电力供应器;
140:屏蔽环;
160:控制器;
170:进气容器;
171:质量流量控制器;
175:气体档板;
180:等离子体;
200:过程;
210:过程;
220:过程;
230:过程;
240:过程;
250:过程;
300:过程;
310:过程;
320:过程;
330:过程;
340:过程。
具体实施方式
图1示出可与本公开一起使用的离子源100的等离子室105的实施例的截面图。离子源100包含由若干等离子室壁107限定的等离子室105,其可由铝、石墨或另一合适材料构成。此等离子室105可供应一种或大于一种进气,所述进气存储于通过气体档板175进入等离子室105的一个或大于一个进气容器170中。质量流量控制器171可用于调节进入等离子室105的进气的流动。这种进气可以通过射频(Radio Frequency,RF)天线120或另一等离子体产生机制供应能量。RF天线120与向RF天线120供应功率的RF电力供应器121电连通。例如石英或氧化铝窗口等介电窗口125可以安置于RF天线120与等离子室105的内部之间。
工件10在压板130上安置在等离子室105内。压板130与偏压电力供应器135电连通,偏压电力供应器135用于在某些时段期间消极地偏压压板130,以使得阳离子被吸向工件10。屏蔽环140可围绕压板130的周边安置以保护压板130。
控制器160可与偏压电力供应器135连通,且控制进气及进入等离子室105的工作气体的流动的质量流量控制器171连通。控制器160可包含处理单元,例如微控制器、个人电脑、专用控制器或另一合适的处理单元。控制器160还可包含非暂时性存储元件,例如半导体存储器、磁存储器或另一合适的存储器。此非暂时性存储元件可含有允许控制器160执行本文所述的功能的指令及其它数据。
执行这些植入(其可为极低剂量植入)的方法采用若干过程。所述方法通过执行调节过程而开始。调节过程为产生进气的离子的过程。然而,所述离子沉积在等离子室105的内表面上,而非朝向工件植入或引导这些离子。在某些实施例中,当工件10未安置在等离子室105中时执行所述调节过程。在某些实施例中,“虚设晶片”可在调节过程期间安置在压板130上以保护压板130不受沉积。换句话说,既定经处理的工件在所述调节过程期间不安置在等离子室105中。
在调节过程期间,来自进气容器170的进气被引入到等离子室105中。使用RF电力供应器121对RF天线120供应能量,以在等离子室105中产生等离子体180。
所述进气包含既定植入于工件10中的掺杂物质。举例来说,掺杂物质可为例如硼的第3族元件,例如磷或砷的第5族元素,或例如碳的第4族元素。所述进气可为AsH3、B2H6、CH4或其它物质。在某些实施例中,可采用掺杂物的氢化物。在其它实施例中,可使用卤代形式的掺杂物。在某些实施例中,所述进气经选择以使得至少一个单层沉积在等离子室105的内表面上。
所述进气的分子可沉积在等离子室105的多种内表面的一个或大于一个上。这些内表面包含(但不限于)屏蔽环140、等离子室壁107、介电窗口125、气体挡扳175以及其它表面。
当完成调节过程后,一层或多层所需掺杂物已沉积在等离子室105的内表面上。在某些实施例中,沉积在内表面上的涂层的厚度可为数十微米到数百微米。此沉积被用作后续植入过程的掺杂物的固体源。换句话说,所述调节过程用以将呈固体形式的掺杂物质沉积在等离子室105的内表面上。此固体形式的掺杂物质将随后被植入到工件中。
调节过程的持续时间可发生变化,且可为几秒或几分钟,但也可以采用其它持续时间。在其它实施例中,可采用涂层厚度的封闭回路控制。举例来说,石英晶体微天平可用于测定何时实现涂层的所需厚度。
在调节过程之后,执行沉积过程。针对所述沉积过程,将工作气体引入等离子室105中。此工作气体可与进气分离存储在单独的容器中,且可通过气体挡板175或通过不同的入口进入等离子室105。
不同于传统的沉积过程及植入过程,在沉积过程及植入过程期间被引入等离子室105中的工作气体不含有掺杂物质。所述工作气体可为可用于将固体掺杂物从等离子室105的内表面去除的物质。合适的工作气体的一些实例包含惰性气体,例如氩、氖、氦、氪以及氙。其它合适的工作气体可包含氦、锗烷以及其它。当然,其它物质也可被用作工作气体。
如在调节过程期间所完成,使用RF电力供应器121来对RF天线120供应能量,以在等离子室105中产生等离子体180。在初始时段(被称为等离子体稳定时段或“预植入等离子体导通时间”)期间,不通过偏压电力供应器135消极地偏压压板130。然而,等离子体180用以溅镀等离子室105的内表面上的先前沉积的涂层。溅镀材料中的一些成为等离子体180的一部分。溅镀材料中的一些沉积在工件10上。如上文所述,溅镀材料含有呈固体形式的所需掺杂物质。
图2中示出此过程的工序,其导致含有呈固体形式的所需掺杂物质的溅镀材料沉积于工件10上。首先,如过程200中所示,将含有所需掺杂物的进气引入等离子室105中。向此进气供应能量至等离子体中,如过程210中所示。这种情况发生于当工件不安置在等离子室105中时。在过程210中,含有所需掺杂物的涂层沉积在等离子室105的内表面上。当足够量的涂层已沉积在内表面上时,使等离子体熄灭,如过程220中所示。在过程210期间沉积的涂层将为固体掺杂物的源,所述固体掺杂物将在后续过程期间被植入到工件中。过程200至220为调节过程的所有部分。
在等离子体已熄灭之后,将待植入的工件10放置在等离子室105中,如过程230所示。在放置工件10之后,将工作气体引入等离子室105中,如过程240所示。如上所述,工作气体不含待植入的所需掺杂物。随后在不对工件10消极偏压时对工作气体供应能量至等离子体中,如过程250所示。在此时段(被称为“预植入等离子体导通时间”)期间,等离子体中的高能离子用来溅镀来自等离子室105的内表面的涂层。此涂层中的一些落到工件10上。因此,图2的过程230至250中所示的工序表示在工件上沉积所需掺杂物的方法,所述掺杂物先前涂布等离子室105的内表面。
因此,在某些实施例中,掺杂物质的沉积发生在不对工件进行消极偏压时,且被引入到等离子室中的工作气体不包括掺杂物质。此外,如上文所描述,经沉积的掺杂物质的源呈固体形式,已先前在等离子室的内表面上形成涂层。沉积在工件上的掺杂物质的厚度可发生变化。在某些实施例中,其可包括一个或大于一个单层,但视所需掺杂物浓度也可采用其它厚度。
一旦所需掺杂物已沉积在工件10上,即存在掺杂物可植入在工件10中的若干方式。图3A至图3C示出若干不同植入过程。应理解,这些植入过程为例示性的,且也可采用其它植入过程。
图3A示出可用于将所需掺杂物植入工件的第一工序。在此实施例中,仍然将工作气体引入等离子室105中,且继续对工作气体供应能量。为了执行植入过程,对压板130进行消极偏压以使得等离子体180中的阳离子被吸向工件10,如过程300所示。这些阳离子用以敲入先前沉积在工件10的表面上的所需掺杂物,如过程310所示。视所需剂量而定,此过程可持续一秒或大于一秒。在此完成后,使等离子体熄灭,如过程320所示。可随后从等离子室105去除工件10。在此实施例中,在单个等离子室105中执行图2及图3A中所示的所有过程。因此,用于敲入所沉积掺杂物的离子从工作气体产生,且不包含掺杂物质。
图3B示出可用于将掺杂物植入工件的第二工序。在此实施例中,执行图3A的敲入机制,如过程300至320所示。在此之后,罩盖层可以任选地形成于工件10的顶表面上,如过程330所示。此罩盖层可包括氧化物层、氮化物层或任何其它合适的层。在其它实施例中,罩盖层不形成于工件10上。随后将工件10暴露于热处理,如过程340所示,其用以将掺杂物敲入工件中。必要时,可在与过程200至250及过程300至320不同的处理腔室中执行罩盖层的形成及热处理。
图3C示出可用于将掺杂物植入工件的第三工序。在此实施例中,不执行图3A及图3B的敲入机制。相反地,执行如过程330所示的罩盖层的任选形成以及如过程340所示的热处理。必要时,可在与过程200至250不同的处理腔室中执行这些过程。
过程340中所示的热处理可为任何常规热处理,包含退火过程、激光退火、快闪退火或任何其它合适的过程。
图2及图3A至图3C中的植入过程示出用于处理单个工件的过程。如果需处理多个工件,那么此可以多种方式来实现。举例来说,在某些实施例中,针对待植入的每个工件执行调节过程。换句话说,针对每个工件执行过程200至250以及植入过程,例如图3A至图3C中所示的过程中的一个。在另一实施例中,可较不频繁地执行调节过程。举例来说,针对待处理的每N个工件仅可执行过程200至220一次。换句话说,针对第一工件,执行过程200至250,接着执行植入过程。针对下一N-1个工件,执行过程230至250,接着执行植入过程。N的值不通过本公开限定,且可小至2且大至100或更大。
换句话说,在某些实施例中,通过将工件放置在等离子室中来开始沉积过程。对不包含掺杂物质的工作气体供应能量至等离子体中。所述等离子体使得先前沉积在等离子室的内表面上的掺杂物质变为沉积在工件上。这可以在不对工件进行消极偏压的情况下执行。这反映在图2的过程230至250中。在沉积掺杂物质之后,其可使用图3A至图3C中所示的植入过程中的任一个植入在工件中。
本公开中所描述的实施例可具有许多优势。举例来说,在一个实施例中,可使用本文所描述的方法来执行例如在5e12原子/cm2与5e16原子/cm2之间的低剂量植入。在一个特定实例中,执行1e13原子/cm2的低剂量植入。当然,可通过改变调节时间、预先植入等离子体导通时间及/或植入时间来植入其它剂量。使用先前涂布等离子室的内表面的呈固体形式的掺杂物可允许精确掺杂物浓度(尤其在低剂量下)。
本公开的范围不应受本文所描述的具体实施例限制。实际上,根据以上描述及附图,除本文中所描述的那些实施例及修改外,本公开的其它各种实施例和对本公开的修改对所属领域的一般技术人员将是显而易见的。因此,此类其它实施例以及修改希望属于本公开的范围。此外,尽管本文已出于特定目的在特定环境下在特定实施方案的上下文中描述了本公开,但所属领域的一般技术人员将认识到其有用性并不限于此,并且出于任何数目的目的,本公开可以有利地在任何数目的环境中实施。因此,应鉴于如本文所描述的本公开的整个广度和精神来解释上文阐述的权利要求。

Claims (14)

1.一种在工件上沉积掺杂物质的方法,其特征在于,包括:
执行调节过程,所述调节过程包括:
将调节气体引入离子源的等离子室中,所述调节气体包括掺杂物质;以及
使所述等离子室中的所述调节气体离子化以在所述等离子室的内表面上形成涂层,所述涂层包括呈固体形式的所述掺杂物质;以及
在所述调节过程之后执行沉积过程,所述沉积过程包括:
在形成所述涂层之后将工作气体引入所述等离子室中,其中所述工作气体不包括所述掺杂物质;以及
使所述等离子室中的所述工作气体离子化以产生离子及溅镀所述涂层,以使得所述掺杂物质沉积在所述工件上,其中在所述沉积过程期间所述工件安置在所述等离子室中。
2.根据权利要求1所述在工件上沉积掺杂物质的方法,其特征在于,在所述调节过程期间所述工件不安置在所述等离子室中。
3.根据权利要求1所述在工件上沉积掺杂物质的方法,其特征在于,所述工作气体包括惰性气体。
4.一种在工件中植入掺杂物质的方法,其特征在于,包括:
执行调节过程,所述调节过程包括:
将调节气体引入离子源的等离子室中,所述调节气体包括掺杂物质;以及
使所述等离子室中的所述调节气体离子化以在所述等离子室的内表面上形成涂层,所述涂层包括呈固体形式的所述掺杂物质;
通过溅镀所述涂层将所述掺杂物质沉积在所述工件上,其中在所述沉积期间所述工件安置在所述等离子室中,且在所述沉积期间不对所述工件施加负偏压;以及
将沉积在所述工件上的所述掺杂物质植入所述工件中。
5.根据权利要求4所述在工件中植入掺杂物质的方法,其特征在于,所述植入包括使所述工件暴露于热处理。
6.根据权利要求5所述在工件中植入掺杂物质的方法,其特征在于,罩盖层在所述暴露之前沉积在所述工件上。
7.根据权利要求4所述在工件中植入掺杂物质的方法,其特征在于,所述溅镀包括:
在形成所述涂层之后将工作气体引入所述等离子室中,其中所述工作气体不包括所述掺杂物质;以及
使所述等离子室中的所述工作气体离子化以产生离子及溅镀所述涂层,以使得所述掺杂物质沉积在所述工件上。
8.根据权利要求7所述在工件中植入掺杂物质的方法,其特征在于,所述植入包括消极地偏压所述工件以使得所述工作气体的离子敲入所述掺杂物质中。
9.根据权利要求8所述在工件中植入掺杂物质的方法,其特征在于,进一步包括在所述施加负偏压之后使所述工件暴露于热处理。
10.根据权利要求9所述在工件中植入掺杂物质的方法,其特征在于,在所述施加负偏压之后且在所述暴露之前,罩盖层沉积在所述工件上。
11.根据权利要求7所述在工件中植入掺杂物质的方法,其特征在于,所述工作气体包括惰性气体。
12.一种处理工件的方法,其特征在于,包括:
将工件置于等离子室中;
当所述工件安置在所述等离子室时,通过对所述等离子室中的工作气体供应能量来产生等离子体;以及
执行沉积过程,其中所述等离子体使得涂布所述等离子室的内表面的掺杂物质溅镀并沉积在所述工件上,其中所述工作气体不包括所述掺杂物质,且在所述沉积过程期间不对所述工件施加负偏压。
13.根据权利要求12所述处理工件的方法,其特征在于,所述工作气体包括惰性气体。
14.根据权利要求12所述处理工件的方法,其特征在于,进一步包括植入所述沉积在所述工件上的所述掺杂物质。
CN201780068648.4A 2016-11-08 2017-09-20 在工件沉积或植入掺杂物质的方法及处理工件的方法 Active CN109923641B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662419010P 2016-11-08 2016-11-08
US62/419,010 2016-11-08
US15/459,416 2017-03-15
US15/459,416 US10460941B2 (en) 2016-11-08 2017-03-15 Plasma doping using a solid dopant source
PCT/US2017/052479 WO2018089104A1 (en) 2016-11-08 2017-09-20 Plasma doping using a solid dopant source

Publications (2)

Publication Number Publication Date
CN109923641A CN109923641A (zh) 2019-06-21
CN109923641B true CN109923641B (zh) 2022-11-29

Family

ID=62064796

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780068648.4A Active CN109923641B (zh) 2016-11-08 2017-09-20 在工件沉积或植入掺杂物质的方法及处理工件的方法

Country Status (6)

Country Link
US (1) US10460941B2 (zh)
JP (1) JP6915057B2 (zh)
KR (1) KR102319152B1 (zh)
CN (1) CN109923641B (zh)
TW (1) TWI749077B (zh)
WO (1) WO2018089104A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220100339A (ko) * 2021-01-08 2022-07-15 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
US20240006158A1 (en) * 2022-06-30 2024-01-04 Applied Materials, Inc. Co-doping to control wet etch rate of fcvd oxide layers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004047695A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置
EP1525333A2 (en) * 2002-08-02 2005-04-27 Varian Semiconductor Equipment Associates Inc. Method and apparatus for plasma implantation without deposition of a layer of byproduct
JP2007538413A (ja) * 2004-05-20 2007-12-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法
CN101243544A (zh) * 2005-08-08 2008-08-13 应用材料股份有限公司 使用低温沉积含碳硬掩膜的半导体基材制程
CN105849869A (zh) * 2013-11-26 2016-08-10 瓦里安半导体设备公司 植入产率强化方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19616627A1 (de) * 1996-04-26 1997-11-06 Dynamit Nobel Ag Anzündmischungen
WO2006121131A1 (ja) * 2005-05-12 2006-11-16 Matsushita Electric Industrial Co., Ltd. プラズマドーピング方法およびプラズマドーピング装置
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8501605B2 (en) * 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
KR101325773B1 (ko) 2011-10-20 2013-11-04 한양대학교 산학협력단 플라즈마 이온 주입 공정 이온 도즈량 모니터링 방법
US9006065B2 (en) 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US8889534B1 (en) * 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
US9034743B2 (en) * 2013-07-18 2015-05-19 Varian Semiconductor Equipment Associates, Inc. Method for implant productivity enhancement
US9524849B2 (en) * 2013-07-18 2016-12-20 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US9384937B2 (en) * 2013-09-27 2016-07-05 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter
US9677171B2 (en) * 2014-06-06 2017-06-13 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in a non-mass-analyzed ion implantation system
US9397011B1 (en) * 2015-04-13 2016-07-19 Lam Research Corporation Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004047695A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置
EP1525333A2 (en) * 2002-08-02 2005-04-27 Varian Semiconductor Equipment Associates Inc. Method and apparatus for plasma implantation without deposition of a layer of byproduct
JP2007538413A (ja) * 2004-05-20 2007-12-27 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマイオン注入システムのためのインサイチュプロセスチャンバの調整方法
CN101243544A (zh) * 2005-08-08 2008-08-13 应用材料股份有限公司 使用低温沉积含碳硬掩膜的半导体基材制程
CN105849869A (zh) * 2013-11-26 2016-08-10 瓦里安半导体设备公司 植入产率强化方法

Also Published As

Publication number Publication date
KR102319152B1 (ko) 2021-11-01
JP6915057B2 (ja) 2021-08-04
JP2020511590A (ja) 2020-04-16
US10460941B2 (en) 2019-10-29
US20180130659A1 (en) 2018-05-10
TW201830458A (zh) 2018-08-16
TWI749077B (zh) 2021-12-11
KR20190069589A (ko) 2019-06-19
WO2018089104A1 (en) 2018-05-17
CN109923641A (zh) 2019-06-21

Similar Documents

Publication Publication Date Title
TWI543239B (zh) 具有非平面基底表面的基底處理方法
KR20190095142A (ko) 실리콘 산화물막에 대한 증착후 처리 방법
TWI480932B (zh) 處理有非平坦表面的基材的方法
EP3089198A1 (en) Method for processing target object
JP6068727B2 (ja) パルス状気体プラズマドーピング方法及び装置
US11049730B2 (en) Workpiece processing method
EP3051576B1 (en) Method of processing a target object comprising forming a silicon oxide film
JP2003513441A (ja) 中空カソードを含むプラズマドーピングシステム。
KR20170026599A (ko) 선택적인 증착을 위한 방법 및 장치
TW200945425A (en) Removal of surface dopants from a substrate
US20070048453A1 (en) Systems and methods for plasma doping microfeature workpieces
TWI659456B (zh) 在非質量分析離子佈植系統中的離子束品質的改進方法
CN109923641B (zh) 在工件沉积或植入掺杂物质的方法及处理工件的方法
JP2005277220A (ja) 不純物導入方法、不純物導入装置およびこの方法を用いて形成された半導体装置
JP2000068227A (ja) 表面処理方法および装置
TWI784271B (zh) 減少從經植入工件的氣體排放的方法
US9105451B2 (en) Plasma processing method and plasma processing apparatus
TWI642091B (zh) 處理工件的方法
CN113474483A (zh) 能时间和/或空间上调制一或更多等离子体的衬底处理
US20110300696A1 (en) Method for damage-free junction formation
KR101776848B1 (ko) 원자층 식각 장비 및 이를 이용한 원자층 식각 방법
JP5324144B2 (ja) プラズマ処理方法及び処理装置
JP2023534827A (ja) プラズマベース注入プロセスを使用するパッシベーションのための犠牲キャッピング層
KR101090767B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
JP2006032602A (ja) スパッタリング装置および方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant