JP2020184626A - 基板処理装置及び基板処理方法 - Google Patents

基板処理装置及び基板処理方法 Download PDF

Info

Publication number
JP2020184626A
JP2020184626A JP2020079719A JP2020079719A JP2020184626A JP 2020184626 A JP2020184626 A JP 2020184626A JP 2020079719 A JP2020079719 A JP 2020079719A JP 2020079719 A JP2020079719 A JP 2020079719A JP 2020184626 A JP2020184626 A JP 2020184626A
Authority
JP
Japan
Prior art keywords
substrate
laser
offset value
unit
irradiation position
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020079719A
Other languages
English (en)
Other versions
JP7221906B2 (ja
Inventor
ヨン パク,スー
Soo Young Park
ヨン パク,スー
クォン,オウヨル
Ohyeol Kwon
ケン アン,ジュン
Jun Keon Ahn
ケン アン,ジュン
ファン リー,ジュン
Jung Hwan Lee
ファン リー,ジュン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semes Co Ltd
Original Assignee
Semes Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semes Co Ltd filed Critical Semes Co Ltd
Publication of JP2020184626A publication Critical patent/JP2020184626A/ja
Application granted granted Critical
Publication of JP7221906B2 publication Critical patent/JP7221906B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/362Laser etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/04Automatically aligning, aiming or focusing the laser beam, e.g. using the back-scattered light
    • B23K26/042Automatically aligning the laser beam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/0823Devices involving rotation of the workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02021Edge treatment, chamfering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】レーザーと同軸に構成されるビジョンユニットを利用して基板の偏心を測定し、レーザーの照射位置を調節することができる基板処理装置及び基板処理方法を提供する。【解決手段】基板処理装置が開示される。基板処理装置は、基板が処理される空間を提供するチャンバー、チャンバー内部で基板を支持する支持ユニット、基板のエッジ領域にレーザーを照射するレーザーユニット、基板のエッジ領域を撮像して基板のオフセット(Offset)値を測定するビジョンユニット及び基板のオフセット値に基づいてレーザーの照射位置を調節する調節ユニットを含む。【選択図】図2

Description

本発明は基板処理装置及び基板処理方法に関り、より詳細には基板のエッジ領域を蝕刻する基板処理装置及び基板処理方法に係る。
半導体素子又は液晶ディスプレーを製造するために、基板にフォトリソグラフィー、蝕刻、アッシング、イオン注入、薄膜蒸着、及び洗浄等の多様な工程が遂行される。この中で蝕刻工程は基板上に形成された薄膜の中で不必要な領域を除去する工程で、薄膜に対する高い選択比及び高蝕刻率が要求される。
従来には基板を蝕刻するために、基板を回転させながら、基板で反射される光量を測定して基板のエッジ領域を算出した後、算出された基板のエッジ領域にレーザーを照射するか、又はケミカルが処理して基板のエッジ領域に対する蝕刻工程を遂行したが、基板のエッジ領域を算出した後、再び基板の静電チャックに安着させて蝕刻工程を遂行して処理時間が長くなり、チャンバーで処理される基板の直径に微細な差があっても補正するのが困難な問題があった。
日本国特許公開第2019−506730号公報
本発明の目的はレーザーと同軸に構成されるビジョンユニットを利用して基板の偏心を測定し、レーザーの照射位置を調節することができる基板処理装置及び基板処理方法を提供することにある。
上述した目的を達成するための本発明の一実施形態による基板処理装置は、基板が処理される空間を提供するチャンバー、前記チャンバー内部で基板を支持する支持ユニット、前記基板のエッジ領域にレーザーを照射するレーザーユニット、前記基板のエッジ領域を撮像して前記基板のオフセット(Offset)値を測定するビジョンユニット、及び前記基板のオフセット値に基づいて前記レーザーの照射位置を調節する調節ユニットを含む。
ここで、前記調節ユニットは、ボディー、前記ボディー内に提供され、前記レーザーの照射方向及び前記ビジョンユニットの撮像方向を同軸に変更する光反射部及び前記ボディーを駆動して前記レーザーの照射位置を調節する制御部を含むことができる。
ここで、前記制御部は、前記ボディーの勾配を調節して前記レーザーの照射位置を変更することができる。
ここで、前記制御部は、前記ビジョンユニットで撮像された映像で既設定された基準点及び前記基板の端部の間の最短距離を前記基板のオフセット値として算出し、前記オフセット値及び前記ボディーと前記基板との間の距離に基づいて前記ボディーの勾配を調節することができる。
また、前記制御部は、前記ボディーの位置を変更して前記レーザーの照射位置を変更することができる。
ここで、前記制御部は、前記ボディーの位置を前記基板のオフセット値に対応される距離ぐらい移動させることができる。
また、前記支持ユニットは、前記基板を回転させるスピンヘッドを含み、前記調節ユニットは、前記基板のオフセット値に基づいて前記スピンヘッドと同期制御されることができる。
ここで、前記調節ユニットは、前記基板の回転角度に対応されるオフセット値に対するプロファイルを生成し、前記プロファイルに応じて前記レーザーの照射位置を調節することができる。
一方、本発明の一実施形態による基板処理方法は、本発明の基板処理装置を利用して基板のエッジ領域にレーザーを照射する基板処理方法において、基板を回転させながら、前記基板のエッジ領域を撮像する段階、前記基板のエッジ領域で基板の回転角度に応じる基板のオフセット値に関するプロファイルを生成する段階、前記基板のオフセット値に関するプロファイルに基づいて前記レーザーの照射位置を調節する段階、及び前記レーザーを照射して基板を処理する段階を含む。
ここで、前記レーザーの照射位置を調節する段階は、前記調節ユニットの勾配を調節して前記レーザーの照射位置を変更する段階を含むことができる。
ここで、前記基板のオフセット値に関するプロファイルを生成する段階は、前記ビジョンユニットで撮像された映像で既設定された基準点及び前記基板の端部の間の最短距離を前記基板のオフセット値として算出する段階を含み、前記レーザーの照射位置を変更する段階は、前記オフセット値及び前記調節ユニットと前記基板との間の距離に基づいて前記調節ユニットの勾配を調節することができる。
また、前記レーザーの照射位置を調節する段階は、前記調節ユニットの位置を変更して前記レーザーの照射位置を変更する段階を含むことができる。
ここで、前記レーザーの照射位置を変更する段階は、前記調節ユニットの位置を前記基板のオフセット値に対応される距離ぐらい移動させることができる。
以上のような本発明の多様な実施形態によれば、基板の偏心を測定し、測定された偏心に基づいてレーザーの照射位置を調節して、蝕刻工程の処理時間を減らすことができ、基板の直径差が発生する場合にも蝕刻工程の正確性を向上させることができる。
本発明の効果が上述した効果によって限定されることはなく、言及されなかった効果は本明細書及び添付された図面から本発明が属する技術分野で通常の知識を有する者に明確に理解されることができる。
本発明の一実施形態による基板処理設備を示す平面図である。 本発明の一実施形態による基板処理装置を示す断面図である。 本発明の一実施形態によるレーザーユニット、ビジョンユニット、及び調節ユニットの構成を示す断面図である。 本発明の一実施形態によるビジョンユニットで撮像される映像の一例を示す図面である。 本発明の一実施形態によるボディーの勾配を調節してレーザーの照射位置を変更する方法を説明するための図面である。 本発明の一実施形態によるボディーの勾配を調節してレーザーの照射位置を変更する方法を説明するための図面である。 本発明の一実施形態によるボディーの勾配を調節してレーザーの照射位置を変更する方法を説明するための図面である。 本発明の一実施形態によるボディーの位置を変更してレーザーの照射位置を変更する方法を説明するための図面である。 本発明の一実施形態による基板処理方法を示すフローチャートである。
下では添付した図面を参考として本発明の実施形態に対して本発明が属する技術分野で通常の知識を有する者が容易に実施できるように詳細に説明する。しかし、本発明は様々な異なる形態に具現されることができ、ここで説明する実施形態に限定されない。また、本発明の好ましい実施形態を詳細に説明することにおいて、関連された公知機能又は構成に対する具体的な説明が本発明の要旨を不必要に曖昧にすることができていると判断される場合にはその詳細な説明を省略する。また、類似な機能及び作用をする部分に対しては図面全体に亘って同一な符号を使用する。
ある構成要素を‘含む’ということは、特別に反対になる記載がない限り、他の構成要素を除外することではなく、他の構成要素をさらに含むことができることを意味する。具体的に、“含む”又は“有する”等の用語は明細書上に記載された特徴、数字、段階、動作、構成要素、部品、又はこれらを組み合わせたものが存在することを指定しようとすることがであり、1つ又はそれ以上の他の特徴や数字、段階、動作、構成要素、部品、又はこれらを組み合わせたものの存在又は付加可能性を予め排除しないことと理解されなければならない。単数の表現は文脈の上に明確に異なりに表現しない限り、複数の表現を含む。また、図面で要素の形状及びサイズ等はより明確な説明のために誇張されることができる。
図1は本発明の実施形態による基板処理設備を示す平面図である。図1を参照すれば、基板処理設備10はインデックスモジュール100と工程処理モジュール200を有する。インデックスモジュール100はロードポート120及び移送フレーム140を有する。ロードポート120、移送フレーム140、及び工程処理モジュール200は順次的に一列に配列される。以下、ロードポート120、移送フレーム140、及び工程処理モジュール200が配列された方向を第1の方向12とし、上部から見る時、第1の方向12と垂直になる方向を第2方向14がとし、第1の方向12と第2方向14を含む平面と垂直である方向を第3方向16とする。
ロードポート120には基板Wが収納されたキャリヤー130が安着される。ロードポート120は複数が提供され、これらは第2方向14に沿って一列に配置される。ロードポート120の数は工程処理モジュール200の工程効率及びフットプリント条件等に応じて増加するか、又は減少してもよい。キャリヤー130には基板がWを地面に対して水平に配置した状態に収納するための多数のスロット(図示せず)が形成される。キャリヤー130として前面開放一体型ポッド(Front Opening Unifed Pod;FOUP)が使用されることができる。
工程処理モジュール200はバッファユニット220、移送チャンバー240、及び工程チャンバー260a、260bを有する。移送チャンバー240はその横方向が第1方向12と平行に配置される。移送チャンバー240の両側には各々工程チャンバー260a、260bが配置される。移送チャンバー240の一側及び他側で工程チャンバー260a、260bは移送チャンバー240を基準に対称されるように提供される。移送チャンバー240の一側には複数の工程チャンバー260a、260bが提供される。工程チャンバー260a、260bの中で一部は移送チャンバー240の横方向に沿って配置される。また、工程チャンバー260a、260bの中で一部は互いに積層されるように配置される。即ち、移送チャンバー240の一側には工程チャンバー260a、260bがAXBの配列に配置されることができる。ここで、Aは第1の方向12に沿って一列に提供された工程チャンバー260a、260bの数であり、Bは第3方向16に沿って一列に提供された工程チャンバー260a、260bの数である。移送チャンバー240の一側に工程チャンバー260a、260bが4つ又は6つ提供される場合、工程チャンバー260a、260bは2X2又は3X2の配列に配置されることができる。工程チャンバー260a、260bの数は増加するか、又は減少してもよい。上述したことと異なりに、工程チャンバー260a、260bは移送チャンバー240の一側のみに提供されることができる。また、工程チャンバー260a、260bは移送チャンバー240の一側及び両側に単層に提供されることができる。
バッファユニット220は移送フレーム140と移送チャンバー240との間に配置される。バッファユニット220は移送チャンバー240と移送フレーム140との間に基板Wが搬送される前に基板Wが留まる空間を提供する。バッファユニット220の内部には基板Wが置かれるスロット(図示せず)が提供される。スロット(図示せず)は互いにとの間に第3方向16に沿って離隔されるように複数が提供される。バッファユニット220は移送フレーム140と対向する面及び移送チャンバー240と対向する面が開放される。
移送フレーム140はロードポート120に安着されたキャリヤー130とバッファユニット220との間に基板Wを搬送する。移送フレーム140にはインデックスレール142とインデックスロボット144が提供される。インデックスレール142はその横方向が第2方向14と並んで提供される。インデックスロボット144はインデックスレール142上に設置され、インデックスレール142に沿って第2方向14に直線移動される。インデックスロボット144はベース144a、本体144b、及びインデックスアーム144cを有する。ベース144aはインデックスレール142に沿って移動可能するように設置される。本体144bはベース144aに結合される。本体144bはベース144a上で第3方向16に沿って移動可能するように提供される。また、本体144bはベース144a上で回転可能するように提供される。インデックスアーム144cは本体144bに結合され、本体144bに対して前進及び後進移動可能するように提供される。インデックスアーム144cは複数に提供されて各々個別に駆動されるように提供される。インデックスアーム144cは第3方向16に沿って互いに離隔された状態に積層されるように配置される。インデックスアーム144cの中で一部は工程処理モジュール200からキャリヤー130に基板Wを搬送する時に使用され、その他の一部はキャリヤー130から工程処理モジュール200に基板Wを搬送する時、使用されることができる。これはインデックスロボット144が基板Wを搬入及び搬出する過程で工程処理前の基板Wから発生されたパーティクルが工程処理後の基板Wに付着されることを防止することができる。
移送チャンバー240はバッファユニット220と工程チャンバー260a、260bとの間に、そして工程チャンバー260a、260bとの間に基板Wを搬送する。移送チャンバー240にはガイドレール242とメーンロボット244が提供される。ガイドレール242はその横方向が第1の方向12と並んで配置される。メーンロボット244はガイドレール242上に設置され、ガイドレール242上で第1の方向12に沿って直線移動される。メーンロボット244はベース244a、本体244b、及びメーンアーム244cを有する。ベース244aはガイドレール242に沿って移動可能するように設置される。本体144bはベース144aに結合される。本体144bはベース144a上で第3方向16に沿って移動可能するように提供される。また、本体144bはベース144a上で回転可能するように提供される。メーンアーム244cは本体244bに結合され、これは本体244bに対して前進及び後進移動可能するように提供される。メーンアーム244cは複数に提供されて各々個別駆動されるように提供される。メーンアーム244cは第3方向16に沿って互いに離隔された状態に積層されるように配置される。
工程チャンバー260a、260bは、洗浄処理チャンバー260aとエッジ処理チャンバー260bを含むことができる。洗浄処理チャンバー260aは基板Wに対して洗浄工程を遂行することができる。洗浄処理チャンバー260aは回転する基板Wの中心領域に洗浄液を供給して洗浄工程を遂行することができる。洗浄処理チャンバー260aは種類に応じて異なる構造を有することができる。これと異なりに、洗浄処理チャンバー260aは同一な構造を有することができる。選択的に洗浄処理チャンバー260aは複数のグループに区分されて、同一なグループに属する洗浄処理チャンバー260aは互いに同一な構造を有し、互いに異なるグループに属する洗浄処理チャンバー260aは互いに異なる構造を有することができる。
エッジ処理チャンバー260bは基板Wのエッジ領域を処理することができる。エッジ処理チャンバー260bは種類に応じて異なる構造を有することができる。これと異なりに、エッジ処理チャンバー260bは同一な構造を有することができる。選択的にエッジ処理チャンバー260bは複数のグループに区分されて、同一なグループに属するエッジ処理チャンバー260bは互いに同一な構造を有し、互いに異なるグループに属するエッジ処理チャンバー260bは互いに異なる構造を有することができる。エッジ処理チャンバー260bには基板Wのエッジ領域に対する処理工程を遂行する基板処理装置300が提供される。
図2は本発明の一実施形態による基板処理装置を示す断面図である。図2を参照すれば、基板処理装置300は支持ユニット310、処理容器320、昇降ユニット330、レーザーユニット340、ビジョンユニット350、調節ユニット360、及び排出ユニット400を含むことができる。
支持ユニット310は基板Wを支持及び回転させる。支持ユニット310は支持プレート312及び回転駆動部材313を含む。支持プレート312は基板Wを支持する。支持プレート312は円形の板形状を有するように提供されることができる。支持プレート312は基板Wより小さい直径を有することができる。支持プレート312の支持面には吸着ホール316が形成され、吸着ホール316には陰圧が提供されることができる。基板Wは陰圧によって支持面に真空吸着されることができる。
回転駆動部材313は支持プレート312を回転させる。一例として、回転駆動部材313はスピンヘッドで提供されることができる。回転駆動部材313は回転軸314及び駆動器315を含む。回転軸314はその横方向が上下方向に向かう筒形状を有するように提供される。回転軸314は支持プレート312の底面に結合される。駆動器315は回転軸314に回転力を伝達する。回転軸314は駆動器315から提供された回転力によって中心軸を中心に回転可能である。支持プレート312は回転軸314と共に回転可能である。回転軸314は駆動器315によってその回転速度が調節されて基板Wの回転速度を調節可能である。例えば、駆動器315はモーターである。
処理容器320は内部に処理空間322を提供する。処理容器320は上部が開放されたカップ形状を有するように提供される。処理容器320は支持ユニット310を囲むように提供されることができる。処理容器320は底部324、側面部326、及び上面部328を含む。底部324は中空を有する円板形状に提供される。
底部324には回収ライン325が形成される。回収ライン325は処理空間322を通じて回収された処理液を外部の液再生システム(図示せず)に提供することができる。側面部326は中空を有する円筒形状に提供される。側面部326は底部324の側端から垂直になる方向に延長される。側面部326は底部324から上に延長される。上面部328は側面部326の上端から延長される。上面部328は支持ユニット310に近くなるほど、上方に傾いた方向に向かう。
昇降ユニット330は支持ユニット310と処理容器320との間に相対高さを調節する。昇降ユニット330は処理容器320を昇降移動させる。昇降ユニット330はブラケット332、移動軸334、及び駆動部材336を含む。駆動部材336はモーターである。ブラケット332は処理容器320の側面部326に固定結合される。移動軸334はブラケット332を支持する。移動軸334はその横方向が上下方向に向かうように提供される。駆動部材336は移動軸334を上下方向に移動させる。したがって、ブラケット332と処理容器320は上下方向に移動可能である。
レーザーユニット340は基板のエッジ領域にレーザーを照射する。ビジョンユニット350は基板のエッジ領域を撮像して基板のオフセット(Offset)値を測定する。調節ユニット360はビジョンユニット350で測定される基板のオフセット値に基づいてレーザーの照射位置を調節することができる。図3を参照すれば、調節ユニット360はボディー361、光反射部362、光スプリッタ363、制御部364、及び照明部365を含むことができる。ボディー361の内部には光反射部362が提供されてレーザーユニット340で照射されるレーザーの照射方向及びビジョンユニット350の撮像方向を同軸に変更することができる。光反射部362は複数に提供されて、レーザーの照射方向をより精密に制御することができる。光スプリッタ363は特定波長の光を透過させ、他の波長の光は反射させることができる。一例として、光スプリッタ363はレーザーユニット340で照射されるレーザーを透過させて光反射部362に提供し、基板のエッジ領域の反射光を反射させてビジョンユニット362に提供することができる。ボディー361の下部には照明部365が提供されて基板のエッジ領域に光を照射することができる。ビジョンユニット362は照明部365で照射された光が基板で反射される反射光を数光して基板のエッジ領域を撮像することができる。照明部365は発光ダイオードLEDで提供されることができるが、これに限定されない。一例として、照明部365は中央に光を集積させるためのレンズが配置しレンズの周辺に複数の発光ダイオードが配置されることができる。制御部364はボディー361を駆動してレーザーの照射位置を調節する。制御部364はボディー361の勾配を変更するか、又はボディー361の位置を移動させることができる。また、制御部364は光反射部362を調節してレーザーの照射位置を調節してもよい。以下、図4乃至図8を参照して、本発明の調節ユニット360がレーザーの照射位置を調節する方法を詳細に説明する。
図4を参照すれば、ビジョンユニット350は基板のエッジ領域を撮像して映像500を獲得することができ、基板の端部と既設定された基準点510との間の距離を測定して基板のオフセット値を算出することができる。ここで、基準点510の映像500の中央地点であり、オフセット値は基板の端部と基準点510との間の最短距離である。基板のオフセット値が獲得されれば、制御部364は図5のように、ボディー361の勾配を調節してレーザーの照射位置を変更することができる。この時、ボディー361の勾配は基板のオフセット値及び基板と調節ユニット360との間の距離Wdを利用して算出することができる。即ち、図6のように、ボディー361の勾配は基板と調節ユニット360との間の距離Wdを底辺とし、基板のオフセット値を高さにする直角三角形の直角内角であるので、三角関数を利用して算出することができる。ビジョンユニット350は基板の回転に応じて基板のエッジ領域を撮像して基板のすべてのエッジ領域で基板のオフセット値を獲得することができる。また、獲得された基板のオフセット値及び基板と調節ユニット360との間の距離Wdを利用して基板のすべてのエッジ領域でボディー361の勾配を算出することができる。一例として、図7のように基板の回転角度に応じる基板のオフセット値及びボディー361の勾配が算出されることができる。即ち、本発明は基板のすべてのエッジ領域で基板のオフセット値を獲得した後、獲得された基板のオフセット値に対応されるボディー361の勾配を算出して基板のエッジ領域に対する精密な蝕刻工程を遂行することができる。また、調節ユニット360は基板のオフセット値に基づいてスピンヘッド313と同期制御されることができ、基板の回転角度に対応される基板のオフセット値に対するプロファイルを生成し、生成されたプロファイルにしたがってレーザーの照射位置を調節することができる。また、図8のように、ビジョンユニット350で基板のオフセット値が獲得されれば、調節ユニット360はボディー361を基板のオフセット値に対応される距離ぐらい移動させてレーザー照射位置を変更してもよい。
図9は本発明の一実施形態による基板処理方法を示すフローチャートである。
図9を参照すれば、まず、基板を回転させながら、基板のエッジ領域を撮像する(S910)。続いて、基板のエッジ領域で基板の回転角度に応じる基板のオフセット値に関するプロファイルを生成する(S920)。具体的に、ビジョンユニットで撮像された映像で既設定された基準点及び基板の端部の間の最短距離を基板のオフセット値として算出することができる。
続いて、基板のオフセット値に関するプロファイルに基づいてレーザーの照射位置を調節する(S930)。一例として、調節ユニットの勾配を調節してレーザーの照射位置を変更することができる。この場合、基板のオフセット値及び調節ユニットと基板との間の距離に基づいて調節ユニットの勾配を算出することができる。他の例として、調節ユニットの位置を変更してレーザーの照射位置を変更することができる。この場合、調節ユニットの位置を基板のオフセット値に対応される距離ぐらい移動させてレーザーの照射位置を変更することができる。
続いて、レーザーを照射して基板を処理する(S940)。
以上のような本発明の多様な実施形態によれば、基板のオフセット値を測定し、測定されたオフセット値に基づいてレーザーの照射位置を調節するので、蝕刻工程の処理時間を減らすことができ、基板の直径差が発生する場合にも蝕刻工程の正確性を向上させることができる。
以上の実施形態は本発明の理解を助けるために提示されたことと、本発明の範囲を制限しなく、これから多様な変形可能な実施形態も本発明の範囲に属することであることを理解しなければならない。本発明の技術的保護範囲は特許請求範囲の技術的思想によって定められなければならないものであり、本発明の技術的保護範囲は特許請求範囲の文言的記載そのものに限定されることではなく、実質的には技術的価値が均等な範疇の発明に対してまで及ぶことであるを理解しなければならない。
10 基板処理設備
300 基板処理装置
310 支持ユニット
340 レーザーユニット
350 ビジョンユニット
360 調節ユニット
361 ボディー
362 光反射部
363 制御部

Claims (13)

  1. 基板が処理される空間を提供するチャンバーと、
    前記チャンバー内部で基板を支持する支持ユニットと、
    前記基板のエッジ領域にレーザーを照射するレーザーユニットと、
    前記基板のエッジ領域を撮像して前記基板のオフセット(Offset)値を測定するビジョンユニットと、
    前記基板のオフセット値に基づいて前記レーザーの照射位置を調節する調節ユニットと、を含む基板処理装置。
  2. 前記調節ユニットは、
    ボディーと、
    前記ボディー内に提供され、前記レーザーの照射方向及び前記ビジョンユニットの撮像方向を同軸に変更する光反射部と、
    前記ボディーを駆動して前記レーザーの照射位置を調節する制御部と、を含む請求項1に記載の基板処理装置。
  3. 前記制御部は、
    前記ボディーの勾配を調節して前記レーザーの照射位置を変更する請求項2に記載の基板処理装置。
  4. 前記制御部は、
    前記ビジョンユニットで撮像された映像で既設定された基準点及び前記基板の端部の間の最短距離を前記基板のオフセット値として算出し、前記オフセット値及び前記ボディーと前記基板との間の距離に基づいて前記ボディーの勾配を調節する請求項3に記載の基板処理装置。
  5. 前記制御部は、
    前記ボディーの位置を変更して前記レーザーの照射位置を変更する請求項2に記載の基板処理装置。
  6. 前記制御部は、
    前記ボディーの位置を前記基板のオフセット値に対応される距離ぐらい移動させる請求項5に記載の基板処理装置。
  7. 前記支持ユニットは、前記基板を回転させるスピンヘッドを含み、
    前記調節ユニットは、
    前記基板のオフセット値に基づいて前記スピンヘッドと同期制御される基板請求項1乃至請求項6のいずれかの一項に記載の処理装置。
  8. 前記調節ユニットは、
    前記基板の回転角度に対応されるオフセット値に対するプロファイルを生成し、前記プロファイルに応じて前記レーザーの照射位置を調節する請求項7に記載の基板処理装置。
  9. 請求項1の基板処理装置を利用して基板のエッジ領域にレーザーを照射する基板処理方法において、
    基板を回転させながら前記基板のエッジ領域を撮像する段階と、
    前記基板のエッジ領域で基板の回転角度に応じる基板のオフセット値に関するプロファイルを生成する段階と、
    前記基板のオフセット値に関するプロファイルに基づいて前記レーザーの照射位置を調節する段階と、
    前記レーザーを照射して基板を処理する段階と、を含む基板処理方法。
  10. 前記レーザーの照射位置を調節する段階は、
    前記調節ユニットの勾配を調節して前記レーザーの照射位置を変更する段階を含む請求項9に記載の基板処理方法。
  11. 前記基板のオフセット値に関するプロファイルを生成する段階は、
    前記ビジョンユニットで撮像された映像で既設定された基準点及び前記基板の端部の間の最短距離を前記基板のオフセット値として算出する段階を含み、
    前記レーザーの照射位置を変更する段階は、
    前記オフセット値及び前記調節ユニットと前記基板との間の距離に基づいて前記調節ユニットの勾配を調節する請求項10に記載の基板処理方法。
  12. 前記レーザーの照射位置を調節する段階は、
    前記調節ユニットの位置を変更して前記レーザーの照射位置を変更する段階を含む請求項9に記載の基板処理方法。
  13. 前記レーザーの照射位置を変更する段階は、
    前記調節ユニットの位置を前記基板のオフセット値に対応される距離ぐらい移動させる請求項12に記載の基板処理方法。
JP2020079719A 2019-04-30 2020-04-28 基板処理装置及び基板処理方法 Active JP7221906B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020190050336A KR102191836B1 (ko) 2019-04-30 2019-04-30 기판 처리 장치 및 기판 처리 방법
KR10-2019-0050336 2019-04-30

Publications (2)

Publication Number Publication Date
JP2020184626A true JP2020184626A (ja) 2020-11-12
JP7221906B2 JP7221906B2 (ja) 2023-02-14

Family

ID=72985136

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020079719A Active JP7221906B2 (ja) 2019-04-30 2020-04-28 基板処理装置及び基板処理方法

Country Status (4)

Country Link
US (1) US11679447B2 (ja)
JP (1) JP7221906B2 (ja)
KR (1) KR102191836B1 (ja)
CN (1) CN111843224B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102650608B1 (ko) * 2020-12-18 2024-03-25 세메스 주식회사 광 처리 부재, 그를 포함하는 기판 처리 장치 및 기판 처리 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010505277A (ja) * 2006-09-29 2010-02-18 ラム リサーチ コーポレーション 基板の位置決めオフセットの補正方法
JP2012023289A (ja) * 2010-07-16 2012-02-02 Tokyo Electron Ltd 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
JP2016115893A (ja) * 2014-12-18 2016-06-23 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP2018043340A (ja) * 2016-09-15 2018-03-22 株式会社荏原製作所 基板加工方法および基板加工装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2742710B2 (ja) * 1989-06-26 1998-04-22 三菱電機株式会社 半導体ウェハ
US6720522B2 (en) 2000-10-26 2004-04-13 Kabushiki Kaisha Toshiba Apparatus and method for laser beam machining, and method for manufacturing semiconductor devices using laser beam machining
JP3768440B2 (ja) * 2001-12-21 2006-04-19 大日本スクリーン製造株式会社 基板周縁処理装置および基板周縁処理方法
EP1547719A3 (en) 2003-12-26 2009-01-28 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing crystalline semiconductor film
JP5063402B2 (ja) * 2008-02-21 2012-10-31 オリジン電気株式会社 レーザ加工装置
DE102008030783B3 (de) * 2008-06-28 2009-08-13 Trumpf Werkzeugmaschinen Gmbh + Co. Kg Verfahren zum Laserstrahlschrägschneiden und Laserbearbeitungsmaschine
JP5318544B2 (ja) * 2008-12-01 2013-10-16 株式会社ディスコ レーザ加工装置
JP2013107090A (ja) * 2011-11-17 2013-06-06 Hitachi High-Technologies Corp 脆性基板加工装置
US9492892B2 (en) * 2012-07-13 2016-11-15 Full Spectrum Laser Llc Infinite thickness laser processing system
KR20160021405A (ko) 2014-08-14 2016-02-25 세메스 주식회사 기판 처리 장치 및 방법
KR101607842B1 (ko) * 2014-12-19 2016-03-31 이노포토닉스 주식회사 펄스 레이저 빔을 이용한 보정기능을 갖는 마킹시스템
EP3082155B1 (en) 2015-04-14 2023-08-30 Ebara Corporation Substrate processing apparatus and substrate processing method
JP6994852B2 (ja) 2017-06-30 2022-01-14 株式会社ディスコ レーザー加工装置及びレーザー加工方法
KR102041310B1 (ko) 2017-08-02 2019-11-07 세메스 주식회사 기판 처리 장치 및 기판의 안착 상태 판단 방법
US11253903B2 (en) * 2017-11-30 2022-02-22 Nsk Ltd. Centering method of rotary caulking device, rotary caulking device manufacturing method, hub unit bearing manufacturing method, vehicle manufacturing method, centering device of rotary caulking device, and rotary caulking system
EP3567139B1 (en) * 2018-05-11 2021-04-07 SiCrystal GmbH Chamfered silicon carbide substrate and method of chamfering
CN109277695A (zh) 2018-08-01 2019-01-29 普聚智能系统(苏州)有限公司 一种适用于立体结构工件的激光同轴视觉系统
CN109530912B (zh) 2018-12-28 2021-02-09 武汉华工激光工程有限责任公司 基于内同轴的对焦装置及基于内同轴的对焦方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010505277A (ja) * 2006-09-29 2010-02-18 ラム リサーチ コーポレーション 基板の位置決めオフセットの補正方法
JP2012023289A (ja) * 2010-07-16 2012-02-02 Tokyo Electron Ltd 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
JP2016115893A (ja) * 2014-12-18 2016-06-23 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP2018043340A (ja) * 2016-09-15 2018-03-22 株式会社荏原製作所 基板加工方法および基板加工装置

Also Published As

Publication number Publication date
CN111843224B (zh) 2023-07-25
KR20200127072A (ko) 2020-11-10
CN111843224A (zh) 2020-10-30
KR102191836B1 (ko) 2020-12-18
US11679447B2 (en) 2023-06-20
US20200346299A1 (en) 2020-11-05
JP7221906B2 (ja) 2023-02-14

Similar Documents

Publication Publication Date Title
KR101908183B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102162187B1 (ko) 기판 처리 장치 및 기판 처리 방법
US11923213B2 (en) Substrate heating unit, substrate processing apparatus, and substrate processing method
TWI760545B (zh) 基板處理裝置
KR102270936B1 (ko) 기판 처리 방법 및 기판 처리 장치
US11348791B2 (en) Bonding apparatus and bonding method
CN108735583B (zh) 周缘处理装置和周缘处理方法
KR20090095837A (ko) 웨이퍼 에지영역 검사장치, 이를 이용하는 웨이퍼 에지영역검사방법 및 웨이퍼 정렬방법
JP2020184626A (ja) 基板処理装置及び基板処理方法
KR102180010B1 (ko) 기판 처리 장치 및 기판 처리 방법
US10361134B2 (en) Method for lithographic process and lithographic system
KR102624578B1 (ko) 기판 처리 설비 및 기판 처리 방법
KR102562236B1 (ko) 기판 처리 설비 및 기판 처리 방법
JP7216683B2 (ja) 基板処理装置及び方法
US20230360933A1 (en) Substrate treating facility and substrate treating method
KR102567504B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2022046117A (ja) 基板検査装置
KR20230053155A (ko) 기판 처리 장치 및 기판 처리 방법
KR20230100234A (ko) 기판 처리 장치
JP2023045854A (ja) 基板処理装置および基板処理方法
KR20230053158A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230202

R150 Certificate of patent or registration of utility model

Ref document number: 7221906

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150