JP2018526821A - 金属ゲートプロセスに基づく低コストのフラッシュメモリ製造フロー - Google Patents

金属ゲートプロセスに基づく低コストのフラッシュメモリ製造フロー Download PDF

Info

Publication number
JP2018526821A
JP2018526821A JP2018506188A JP2018506188A JP2018526821A JP 2018526821 A JP2018526821 A JP 2018526821A JP 2018506188 A JP2018506188 A JP 2018506188A JP 2018506188 A JP2018506188 A JP 2018506188A JP 2018526821 A JP2018526821 A JP 2018526821A
Authority
JP
Japan
Prior art keywords
gate
layer
source
metal
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018506188A
Other languages
English (en)
Other versions
JP2018526821A5 (ja
JP7021821B2 (ja
Inventor
タン ニン
タン ニン
ティエン ウェイドン
ティエン ウェイドン
Original Assignee
日本テキサス・インスツルメンツ株式会社
テキサス インスツルメンツ インコーポレイテッド
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本テキサス・インスツルメンツ株式会社, テキサス インスツルメンツ インコーポレイテッド, テキサス インスツルメンツ インコーポレイテッド filed Critical 日本テキサス・インスツルメンツ株式会社
Publication of JP2018526821A publication Critical patent/JP2018526821A/ja
Publication of JP2018526821A5 publication Critical patent/JP2018526821A5/ja
Application granted granted Critical
Publication of JP7021821B2 publication Critical patent/JP7021821B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/46Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/47Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with a floating-gate layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/48Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with a tunnel dielectric layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/761PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

記載される例において、集積回路(100)がフラッシュセル(106)を含み、感知トランジスタ(108)の頂部ゲート(136)が、浮遊ゲート(130)の上の金属感知ゲート(106)である。感知トランジスタ(108)のソース/ドレイン領域(138)が、ソース領域が、200ナノメートル未満、感知チャネル長(126)によりドレイン領域から分離されるように、浮遊ゲート(130)の下に延在する。浮遊ゲート(130)は少なくとも400ナノメートル幅であり、そのため、感知トランジスタ(108)のソース/ドレイン領域(138)は、浮遊ゲート(130)の下に各側で少なくとも100ナノメートル延在する。集積回路(100)は、浮遊ゲート(130)を形成する前に、感知トランジスタソース及びドレイン領域(138)を形成することにより形成される。

Description

本願は、概して集積回路に関し、更に特定して言えば、集積回路におけるフラッシュメモリセルに関連する。
或る集積回路が、感知トランジスタの頂部ゲートが浮遊ゲートの上の金属プレートである、フラッシュメモリセルを含む。このフラッシュセルは、一つの余分のフォトリソグラフィオペレーションを付加することで、相補型金属酸化物半導体(CMOS)製造フローに統合され得る。頂部ゲートは、金属プレートをアンダーカットするウェットエッチングプロセスにより形成され、そのため浮遊ゲートが大型にされる必要がある。浮遊ゲートを大型にすることは、増大されるホットキャリア注入に起因して、フラッシュセルに対する信頼性の問題につながり得る。大型の浮遊ゲートは、効率的なホットキャリア注入プログラミングのために大きな横方向フィールドを要する。或るプロセスでは、必要とされる値を達成することが物理的に不可能である。6ボルト未満など、低ドレイン電圧での効率的なHCIプログラミングを可能にするため、チャネル長が低減される必要がある。
記載される例において、集積回路がフラッシュセルを含み、感知トランジスタの頂部ゲートが、浮遊ゲートの上の金属感知ゲートである。感知トランジスタのソース/ドレイン領域は、ソース領域が、200ナノメートル未満、感知チャネル長によりドレイン領域から分離されるように、浮遊ゲートの下に延在する。金属感知ゲートは、ソース及びドレイン領域の上を浮遊ゲートを超えて延在しない。浮遊ゲートは少なくとも400ナノメートル幅であり、そのため、感知トランジスタのソース/ドレイン領域は、浮遊ゲートの下に各側で少なくとも100ナノメートル延在する。集積回路は、浮遊ゲートを形成する前に、感知トランジスタソース及びドレイン領域を形成することにより形成される。
例示の集積回路の断面図である。
例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。 例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。
図面は一定の縮尺で描いてはいない。幾つかの行為は、異なる順序で、及び/又は他の行為又は事象と同時に成されてもよい。また、全ての図示した行為又は事象が、例示の実施例に従った手法を実装する必要はない。
集積回路がフラッシュセルを含み、感知トランジスタの頂部ゲートが、浮遊ゲートの上の金属感知ゲートである。感知トランジスタのソース/ドレイン領域は、ソース領域が、200ナノメートル未満、感知チャネル長によりドレイン領域から分離されるように、浮遊ゲートの下に途中まで延在する。金属感知ゲートは、ソース及びドレイン領域の上を浮遊ゲートを超えて延在しない。浮遊ゲートは、少なくとも400ナノメートル幅であり、そのため、感知トランジスタのソース/ドレイン領域は、各側で少なくとも100ナノメートル、浮遊ゲートの下に延在する。集積回路は、浮遊ゲートを形成する前に、感知トランジスタソース及びドレイン領域を形成することにより形成される。
図1は例示の集積回路の断面図である。集積回路100は、半導体材料104を含む基板102上に形成される。例えば、基板102は単結晶シリコンであり得る。半導体材料104も単結晶シリコンであり得る。この例では、半導体材料104はp型である。集積回路100はフラッシュメモリ106を含み、フラッシュメモリ106は、少なくとも一つの感知トランジスタ108を有し、アクセストランジスタ110を有し得る。この例では、感知トランジスタ108及びアクセストランジスタ110は、nチャネル金属酸化物半導体(NMOS)トランジスタである。集積回路100はまた、フラッシュメモリ106から離れた、少なくとも一つの論理NMOSトランジスタ112を含み得る。フィールド酸化物114が、集積回路100の構成要素を横方向に隔離するように基板102の頂部表面116において配置される。感知トランジスタ108及びアクセストランジスタ110は、基板102における隔離されたp型ウェル118において配置される。隔離されたp型ウェル118は、隔離されたp型ウェル118の下の基板102に配置されるディープn型ウェル120と、隔離されたp型ウェル118を横方向に囲むn型ウェル122との組み合わせにより電気的に隔離される。論理NMOSトランジスタ112は、基板102のp型半導体材料104に接するp型ウェル124に配置される。
感知トランジスタ108は、隔離されたp型ウェル118において基板102の頂部表面116上の厚み128を有するゲート誘電体層126、及びゲート誘電体層126上の幅132を有する浮遊ゲート130を含む。ゲート誘電体層126の厚み128は、3.0ナノメートル未満であり、1.5ナノメートル〜2.5ナノメートルであり得る。例えば、浮遊ゲート130は、本明細書においてポリシリコンと称する多結晶シリコンであり得る。幅132は少なくとも400ナノメートルである。頂部ゲート誘電体層134が、浮遊ゲート130の幅132にわたって延在して、浮遊ゲート130の上に配置され、頂部ゲート誘電体層134の上に金属感知ゲート136が配置される。金属感知ゲート136は、感知トランジスタ108のソース及びドレイン側で浮遊ゲート130を超えて延在しない。例えば、金属感知ゲート136は、40ナノメートル〜80ナノメートルの厚みであり得る。n型感知ソース/ドレイン領域138は、隔離されたp型ウェル118に配置され、浮遊ゲート130の下に途中まで延在する。感知ソース/ドレイン領域138は、浮遊ゲート130の下で感知チャネル長140により分離される。感知チャネル長140は200ナノメートル未満であり、そのため、感知ソース/ドレイン領域138は、感知トランジスタ108の各ソース及びドレイン側で少なくとも100ナノメートル、浮遊ゲート130の下に延在する。例えば、類似の論理トランジスタのソース/ドレイン領域が、各ソース及びドレイン側で、対応する論理ゲートの下に25ナノメートル未満、延在し得る。感知ソース/ドレイン領域138は、浮遊ゲート130の外方に配置されるディープソース/ドレイン部分142を含み得る。オフセットスペーサ144が、浮遊ゲート130の側壁上に配置され、ソース/ドレイン側壁スペーサ146が、オフセットスペーサ144上に配置される。
アクセストランジスタ110は、隔離されたp型ウェル118における基板102の頂部表面116上に配置されるゲート誘電体層148と、ゲート誘電体層148上のゲート150とを含む。ゲート誘電体層148は、感知トランジスタ108のゲート誘電体層126と同じ組成及び厚みを有する。ゲート150は、感知トランジスタ108の浮遊ゲート130と同じ組成を有する。n型アクセスソース/ドレイン領域152が、隔離されたp型ウェル118に配置され、ゲート150の下に途中まで延在する。アクセスソース/ドレイン領域152は、感知ソース/ドレイン領域138が浮遊ゲート130の下に延在するほど遠くまでゲート150の下に延在しない。例えば、アクセスソース/ドレイン領域152は、浮遊ゲート130の下に25ナノメートル未満、延在し得る。アクセスソース/ドレイン領域152は、ゲート150の外方に配置されるディープソース/ドレイン部分154を含む。アクセストランジスタ110のディープソース/ドレイン部分154は、感知トランジスタ108のディープソース/ドレイン部分142と同様のドーピング分布を有する。感知トランジスタ108に近接するアクセスソース/ドレイン領域152は、想像線により図1に示すようにアクセストランジスタ110に近接する感知ソース/ドレイン領域138と連続的である。ゲート150の側壁上にオフセットスペーサ156が配置され、オフセットスペーサ156上にソース/ドレイン側壁スペーサ158が配置される。
感知トランジスタ108及びアクセストランジスタ110を囲むn型ウェル122における基板102の頂部表面116において、n型コンタクト領域160が配置され得る。コンタクト領域160は、感知トランジスタ108のディープソース/ドレイン部分142及びアクセストランジスタ110のディープソース/ドレイン部分154と同様のドーピング分布を有し得る。
論理NMOSトランジスタ112は、p型ウェル124における基板102の頂部表面116上に配置されるゲート誘電体層162、及びゲート誘電体層162上のゲート164を含む。ゲート誘電体層162は、感知トランジスタ108のゲート誘電体層126と同じ組成及び厚みを有する。ゲート164は、感知トランジスタ108の浮遊ゲート130と同じ組成を有する。n型論理ソース/ドレイン領域166が、p型ウェル124に配置され、ゲート164の下に途中まで延在する。論理ソース/ドレイン領域166は、感知ソース/ドレイン領域138が浮遊ゲート130の下に延在するほど遠くまでゲート164の下に延在しない。論理ソース/ドレイン領域166は、ゲート164の外方に配置されるディープソース/ドレイン部分168を含む。論理NMOSトランジスタ112のディープソース/ドレイン部分168は、感知トランジスタ108のディープソース/ドレイン部分142と同様のドーピング分布を有する。ゲート164の側壁上にオフセットスペーサ170が配置され、オフセットスペーサ170上にソース/ドレイン側壁スペーサ172が配置される。
金属シリサイド174が、感知トランジスタ108のディープソース/ドレイン部分142、アクセストランジスタ110のディープソース/ドレイン部分154、n型ウェル122におけるコンタクト領域160、及び論理NMOSトランジスタ112のディープソース/ドレイン部分168など、露出されたシリコン上の基板102の頂部表面116において、及び任意選択で、アクセストランジスタ110のゲート150及び論理NMOSトランジスタ112のゲート164上に、配置され得る。金属シリサイド174は、感知トランジスタ108の浮遊ゲート130上に配置されない。
プレメタル誘電体(PMD)層176が、基板102の頂部表面116の上、並びに、金属シリサイド174、感知トランジスタ108、アクセストランジスタ110、及び論理MOSトランジスタ112の上に配置される。PMD層176は層スタックであり得、この層スタックは、シリコン窒化物のPMDライナーと、ボロンリン珪酸ガラス(BPSG)又は二酸化シリコンのメイン層と、シリコン窒化物、シリコンカーバイド、シリコンカーバイド窒化物、又は、タングステン化学機械研磨(CMP)プロセスのためのストップ層に適したその他のハード誘電体材料のキャップ層とを含み得る。金属シリサイド174を介する、感知トランジスタ108、アクセストランジスタ110、及び論理NMOSトランジスタ112への電気的接続を提供するために、PMD層176を通してコンタクト178が配置される。コンタクト178は、PMD層176に接するチタン及びチタン窒化物のコンタクトライナー180と、コンタクトライナー180上のタングステンのコンタクト充填金属182とを含む。コンタクト178は、PMD層176を通してコンタクトホールを形成すること、コンタクトホールの側壁及び底部上にコンタクトライナー180を形成すること、及びコンタクトライナー180上にコンタクト充填金属182の層を形成すること、及びその後、金属CMPプロセス又はエッチバックプロセスなどによって、PMD層176の頂部表面からコンタクト充填金属182及びコンタクトライナー180を取り除くことによって形成され得る。
PMD層176上に金属間誘電体(IMD)層184が配置される。IMD層184は、シリコンカーバイド又はシリコンカーバイド窒化物のエッチストップ層と、有機シリケートガラス(OSG)などの二酸化シリコン又は低k誘電体材料のメイン層と、シリコン窒化物、シリコンカーバイド、シリコンカーバイド窒化物、又は銅CMPプロセスのためのストップ層に適したその他のハード誘電体材料のキャップ層とを含み得る。コンタクト178への電気的接続を提供するために、金属相互接続186がIMD層184を通して配置される。金属相互接続186は銅ダマシン構造を有し、この銅ダマシン構造は、IMD層184に接し、コンタクト178への接続を成す、タンタル窒化物のトレンチライナー188と、トレンチライナー188上の銅充填金属190とを備える。金属相互接続186は、銅ダマシンプロセスにより形成され得、銅ダマシンプロセスは、IMD層184を通して相互接続トレンチを形成すること、相互接続トレンチの側壁及び底部上にトレンチライナー188を形成すること、スパッタリングによりトレンチライナー188上に銅充填金属190の第1の部分として銅シード層を形成すること、銅シード層上に銅充填金属190の第2の部分として電気めっきにより充填銅層を形成すること、及びその後、銅CMPプロセスにより銅充填金属190及びトレンチライナー188を取り除くことを含み得る。
感知トランジスタ108の金属感知ゲート136は、コンタクト178のコンタクトライナー180に類似する、又は金属相互接続186のトレンチライナー188に類似する組成を有し得、反応性スパッタツール又は原子層堆積ツールなど、同じツールにおいて形成され得る。コンタクトライナー180又はトレンチライナー188と同じツールにおいて金属感知ゲート136を形成することは、有利にも、集積回路100の製造コストを低減し得る。
集積回路100のオペレーションの間、感知トランジスタ108は、浮遊ゲート130の下の感知チャネル長140が200ナノメートル未満であること起因して、所望のレベルの信頼性を示し得る。感知トランジスタ108は、浮遊ゲート130の幅132が少なくとも400ナノメートルであるので、1ミリ秒よりずっと短いプログラム時間及び所望の低プログラム電圧を有し得、金属感知ゲート136を画定するためのウェットエッチングプロセスによるアンダーカットに因る幅低減の後の金属感知ゲート136のための充分な幅が可能となる。データ保持及び持続性もまた、浮遊ゲートの幅が300ナノメートル未満である感知トランジスタよりも著しく優れたものとなり得る。
図2A〜図2Jは、例示の製造シーケンスの連続的な段階において示される、図1の集積回路の断面図である。図2Aを参照すると、集積回路100が基板102内及び上に形成される。例えば、基板102はシリコンウェハであり得る。半導体材料104は、バルクシリコンウェハの頂部であり得、又は、バルクシリコンウェハ上に形成されるエピタキシャル層であり得る。例えば、フィールド酸化物114は、シャロートレンチアイソレーション(STI)プロセスにより形成される。その後、ディープn型ウェル120が、n型ドーパント(リンなど)を基板102内に注入することによって形成され得る。例えば、1000keV〜1500keVのエネルギーで2×1012cm−2〜5×1012cm−2のドーズ量での、フォトレジストを含む注入マスクを用いる注入が、基板102の頂部表面116の1ミクロン〜1.5ミクロン下に所望のピークドーパント分布を生成し得る。隔離されたp型ウェル118及びp型ウェル124が、250keV〜350keVのエネルギーで5×1012cm−2〜1×1013cm−2のドーズ量で、フォトレジストを含む注入マスクを用いてボロンなどのp型ドーパントを基板102内に注入することにより形成され得、これにより、基板102の頂部表面116の0.6ミクロン〜0.9ミクロン下にピークドーパント分布が生成される。感知トランジスタ108、アクセストランジスタ110、及び論理MOSトランジスタ112のためのパンチスルー低減領域及び閾値調節領域を形成するために、付加的なp型ドーパントが同時に注入され得る。n型ウェル122は、400keV〜600keVのエネルギーで5×1012cm−2〜2×1013cm−2のドーズ量で、フォトレジストを含む注入マスクを用いてn型ドーパント(リンなど)を基板102内に注入することにより形成され得、これにより、基板102の頂部表面116の0.4ミクロン〜0.8ミクロン下にピークドーパント分布が生成される。その後、基板102は、注入されたドーパントを活性化するためにアニーリングされる。
感知ソース/ドレインマスク192が基板102の上に形成され、これは、図1の感知ソース/ドレイン領域138のためのエリアにおいて基板102を露出させる。感知ソース/ドレインマスク192は、感知ソース/ドレイン領域138のためのエリア間の基板102を覆う。感知ソース/ドレインマスク192は、任意選択で、n型ソース/ドレインエリア又はコンタクト領域のための他のエリアを露出させ得る。n型ドーパント194(リン及びヒ素など)が、30keV〜70keVのエネルギーで5×1013cm−2〜3×1014cm−2の総ドーズなどで、感知ソース/ドレインマスク192により露出された基板102に注入されて、感知ソース/ドレイン領域138のためのエリアにおいて感知ソース/ドレイン注入された領域196を形成する。その後、アッシングプロセス及びその後続くウェット洗浄プロセスなどにより、感知ソース/ドレインマスク192が取り除かれる。
図2Bを参照すると、それぞれ、感知トランジスタ108、アクセストランジスタ110、及び論理NMOSトランジスタ112の、ゲート誘電体層126、148、及び162が同時に形成される。ゲート誘電体層126、148、及び162は、熱酸化により形成され得、及び任意選択で、窒素含有プラズマへの暴露などにより窒化され得る。ゲート誘電体層126、148、及び162は、任意選択で、ハフニウム酸化物又はジルコニウム酸化物などの高k誘電体材料を含み得る。図2Aの感知ソース/ドレイン注入された領域196における注入されたドーパントは、感知ソース/ドレイン領域138を形成するため、ゲート誘電体層126、148、及び162の形成の間、少なくとも部分的にアクティブにされ得る。
その後、感知トランジスタ108の浮遊ゲート130、アクセストランジスタ110のゲート150、及び論理NMOSトランジスタ112のゲート164が、それぞれ、ゲート誘電体層126、148、及び162上に同時に形成される。例えば、浮遊ゲート130、ゲート150、及びゲート164は、ゲート誘電体材料の共通層上にポリシリコンの層を150ナノメートル〜200ナノメートルの厚みで形成すること、nチャネルトランジスタのゲートのためのエリアにおいてポリシリコンの層を1×1015cm−2〜6×1015cm−2の総ドーズ量でn型ドーパント(リン及び場合によってはヒ素など)で注入することによって形成され得る。その後、ゲートエッチングマスクが、浮遊ゲート130、ゲート150、及びゲート164を含む、NMOSトランジスタのゲートのための、及びpチャネル金属酸化物半導体(PMOS)トランジスタのゲートのためのエリアを覆うために、ポリシリコンの層の上に形成される。ポリシリコンの層は、浮遊ゲート130、ゲート150、及びゲート164を形成するためにポリシリコンを残して、反応性イオンエッチング(RIE)プロセスにより、ゲートエッチングマスクにより露出されたエリアにおいて取り除かれる。ゲート誘電体層126、148、及び162の外のゲート誘電体材料の共通層は、RIEプロセスにより低減され又は取り除かれ得る。
オフセットスペーサ144、156、及び170は、浮遊ゲート130、ゲート150、及びゲート164上に、場合によっては同時に、形成される。オフセットスペーサ144、156、及び170は、熱酸化物を、及び任意選択でプラズマエンハンス化学気相成長(PECVD)によって形成される二酸化シリコン及び/又はシリコン窒化物の一つ又は複数の層を、含み得る。
図2Cを参照すると、軽くドープされたドレインマスク198が、基板102の上に形成され、これは、浮遊ゲート130、ゲート150、及びゲート164に近接するエリア、及び任意選択でn型ウェル122におけるエリアを露出させる。n型ドーパント200(リン及び場合によってはヒ素など)が、30keV〜60keVのエネルギーで2×1013cm−2〜1×1014cm−2の総ドーズなどで、LDDマスク198により露出された基板102に注入されて、浮遊ゲート130、ゲート150、及びゲート164に近接するドレイン拡張注入された領域202、並びに、n型ウェル122におけるウェルタップ注入された領域204を形成する。浮遊ゲート130、ゲート150、及びゲート164は、n型ドーパント200をブロックする。その後、LDDマスク198は、例えば図2Aを参照して説明されるように、取り除かれる。
図2Dを参照すると、ディープソース/ドレインマスク206が、基板102の上に形成され、これは、アクセストランジスタ110及び論理NMOSトランジスタ112及びn型ウェル122を露出させ、及び任意選択で、図2Dに示されるように感知トランジスタ108を露出させ得る。n型ドーパント208(リン及びヒ素など)が、40keV〜70keVのエネルギーで1×1015cm−2〜5×1015cm−2の総ドーズなどで、浮遊ゲート130、ゲート150、及びゲート164に近接する基板102内に、及びn型ウェル122において注入されて、浮遊ゲート130、ゲート150、及びゲート164に近接するディープソース/ドレイン注入された領域210を形成し、及びn型ウェル122におけるコンタクト注入された領域212を形成する。浮遊ゲート130、ゲート150、及びゲート164は、n型ドーパント208をブロックする。その後、ディープソース/ドレインマスク206は、例えば図2Aを参照して説明されるように、取り除かれる。
図2Eを参照すると、基板102は、図2Dのドレイン拡張注入された領域202、ウェルタップ注入された領域204、ディープソース/ドレイン注入された領域210、及びコンタクト注入された領域212において、注入されたドーパントを活性化するためにアニーリングされて、感知ソース/ドレイン領域138のディープソース/ドレイン部分142を形成し、アクセスソース/ドレイン領域152のディープソース/ドレイン部分154を形成し、論理ソース/ドレイン領域166のディープソース/ドレイン部分168を形成し、及び、n型ウェル122においてコンタクト領域160を形成する。基板102は、例えば、高速熱的処理(RTP)ツールにおけるスパイクアニールプロセスにより、又はフラッシュアニールプロセスにより、又はレーザーアニールプロセスなどによりアニーリングされ得る。
シリサイドブロック誘電体材料214の層が、集積回路100の既存の頂部表面の上に形成される。例えば、シリサイドブロック誘電体材料214の層は、10ナノメートル〜50ナノメートルの総厚を有する、二酸化シリコン及び場合によってはシリコン窒化物の一つ又は複数のサブ層を含み得る。シリサイドブロック誘電体材料214の層において二酸化シリコンが、PECVDプロセスにより形成され得る。
図2Fを参照すると、図2Eのシリサイドブロック誘電体材料214の層は、図1の頂部ゲート誘電体層134の第1の部分を形成するために感知トランジスタ108の浮遊ゲート130を覆うように、及び感知ソース/ドレイン領域138のディープソース/ドレイン部分142、アクセスソース/ドレイン領域152のディープソース/ドレイン部分154、論理ソース/ドレイン領域166のディープソース/ドレイン部分168、及びn型ウェル122におけるコンタクト領域160、アクセストランジスタ110のゲート150、及び論理NMOSトランジスタ112のゲート164を露出させるように、パターニングされる。シリサイドブロック誘電体材料214の層は、フォトリソグラフィプロセスによりエッチングマスクを形成すること、及びエッチングマスクにより露出された箇所のシリサイドブロック誘電体材料214の層をウェットエッチングプロセス又はRIEプロセスにより取り除くことによって、パターニングされ得る。
シリサイドブロック誘電体材料214のパターニングされた層によって露出されたシリコン上に、金属シリサイド174が形成される。例えば、金属シリサイド174は、露出されたシリコン上に、チタン、コバルト、又はニッケルなどの耐火性金属の層を形成すること、及び、金属シリサイド174を形成するため露出されたシリコンを耐火性金属と反応させるために基板102を加熱することよって形成され得る。反応しなかった金属は、その後、硫酸及び過酸化水素の水溶性混合物、又は水酸化アンモニウム及び過酸化水素の水溶性混合物などのウェットエッチングプロセスによって取り除かれる。
図2Gを参照すると、誘電体障壁層216が、金属シリサイド174の上に形成され、及び図1の頂部ゲート誘電体層134の上に部分的に形成される。誘電体障壁層216は、5ナノメートル〜20ナノメートルの厚みの二酸化シリコンの第1のサブ層と、二酸化シリコンの第1のサブ層上の5ナノメートル〜20ナノメートルの厚みのシリコン窒化物のサブ層と、シリコン窒化物のサブ層上の5ナノメートル〜20ナノメートルの厚みの二酸化シリコンの第2のサブ層とを含む層スタックであり得る。誘電体障壁層216における二酸化シリコンが、テトラエトキシシラン(TEOS)を用いるPECVDにより形成され得る。誘電体障壁層216におけるシリコン窒化物が、ビスターシャリブチルアミノシラン(BTBAS)を用いるPECVDにより形成され得る。誘電体障壁層216のための他の層構造又は組成も本例の範囲内にある。例えば、誘電体障壁層216の総厚は20ナノメートル〜40ナノメートルであり得る。
誘電体障壁層216上にゲート金属218の層が形成される。例えば、ゲート金属218の層は、40ナノメートル〜80ナノメートルの厚みであり得、窒化タンタル、タンタル、チタン窒化物、又はチタンを含み得る。ゲート金属218におけるチタン及びタンタルの層が、スパッタリングにより形成され得る。ゲート金属218におけるタンタル窒化物及びチタン窒化物の層が、窒素雰囲気における反応性スパッタリング、又は原子層堆積により形成され得る。
ゲート金属218の層上にハードマスク層220が形成される。ハードマスク層220は、PECVDによって形成されるシリコン窒化物を含み得、25ナノメートル〜50ナノメートルの厚みであり得る。
図1の金属感知ゲート136のためのエリアを覆うハードマスク層220の上に、ゲートマスク222が形成される。ゲートマスク222は、感知トランジスタ108のディープソース/ドレイン部分142の上及びアクセストランジスタ110及び論理MOSトランジスタ112の上を含む、その他の箇所のハードマスク層220を露出させる。ゲートマスク222は、フォトリソグラフィプロセスによりフォトレジストで形成され得る。ゲートマスク222の幅が、ゲート金属218の層のウェットエッチングプロセスの間のアンダーカットを考慮して、金属感知ゲート136の所望の幅を提供するように選択される。図1を参照して説明されたように、少なくとも400ナノメートルの幅を有するように浮遊ゲート130を形成することは、有利にも、所望の金属感知ゲート136の幅を提供するために充分な幅を有してゲートマスク222が形成され得るようにする。
図2Hを参照すると、ハードマスク224を形成するためにゲートマスク222の下のハードマスク層220を残して、RIEプロセスにより、ゲートマスク222により露出された箇所の図2Gのハードマスク層220が取り除かれる。ゲートマスク222は、後続の金属ゲートエッチプロセスの間、取り除かれ得、又は、その場に残り得る。
図2Iを参照すると、金属感知ゲート136を提供するためにハードマスク224の下のゲート金属218の層を残して、ハードマスク224により露出された箇所の図2Hのゲート金属218の層が取り除かれる。ゲート金属218の層は、濃縮水酸化アンモニウム及び過酸化水素の水溶性混合物を用いるウェットエッチングプロセスにより取り除かれ得る。ゲート金属218の層をエッチングするための他の方法も本例の範囲内である。図2Hのゲートマスク222は、ウェットエッチングプロセスにより腐食され得、又は、図2Iに示されるように完全に取り除かれ得る。ウェットエッチングプロセスは、ゲート金属218の層をアンダーカットし得る。誘電体障壁層216は、ゲート金属218の層の除去の間、金属シリサイド174を保護する。ハードマスク224が取り除かれ得る一方、誘電体障壁層216は、その場に残り、又は、後続の製造工程の間に取り除かれ得る。
図2Jを参照すると、金属感知ゲート136により露出された箇所の図2Iの誘電体障壁層216が取り除かれる。誘電体障壁層216は、金属シリサイド174に対して選択的なプラズマエッチングプロセスにより取り除かれ得る。誘電体障壁層216は、頂部ゲート誘電体層134の第2の部分を提供するため、金属感知ゲート136の下に残る。シリサイドブロック誘電体材料214のパターニングされた層と誘電体障壁層216の組み合わせが、頂部ゲート誘電体層134を提供する。
若し残っている場合、ハードマスク224が取り除かれる。集積回路100の製造は、図1のPMD層176の形成で継続する。そのため、フラッシュメモリ106が、一つの余分のフォトリソグラフィオペレーションで形成され、図2Gのゲートマスク222により、3つの余分のフォトリソグラフィオペレーションを要する従来の埋め込みフラッシュメモリを備える等価の集積回路に比して、集積回路100のコストが有利に低減される。
本発明の特許請求の範囲内で、説明した例示の実施例に変形が成され得、他の実施例が可能である。

Claims (20)

  1. フラッシュメモリを含む集積回路であって、
    半導体材料を含む基板、及び
    前記フラッシュメモリの感知トランジスタ、
    を含み、前記感知トランジスタが、
    前記基板の頂部表面において配置されるゲート誘電体層と、
    前記ゲート誘電体層上に配置される少なくとも400ナノメートル幅のポリシリコンの浮遊ゲートと、
    前記基板において配置される第1及び第2のソース/ドレイン領域であって、前記浮遊ゲートの下に中途まで延在し、200ナノメートル未満分離される、前記第1及び第2のソース/ドレイン領域と、
    前記浮遊ゲートの上に配置される頂部ゲート誘電体層と、
    前記頂部ゲート誘電体層上に配置される金属感知ゲートと、
    を含む、
    集積回路。
  2. 請求項1に記載の集積回路であって、前記金属感知ゲートが、タンタル、窒化タンタル、チタン、及びチタン窒化物から成るグループから選択される金属を含む、集積回路。
  3. 請求項1に記載の集積回路であって、前記頂部ゲート誘電体層が、二酸化シリコンのサブ層とシリコン窒化物のサブ層とを含む、層スタックである、集積回路。
  4. 請求項1に記載の集積回路であって、前記頂部ゲート誘電体層が、前記浮遊ゲートの前記幅にわたって延在する、集積回路。
  5. 請求項1に記載の集積回路であって、前記感知トランジスタの前記第1及び第2のソース/ドレイン領域が、前記浮遊ゲートの外方に配置されるディープソース/ドレイン部分を含む、集積回路。
  6. 請求項5に記載の集積回路であって、前記第1及び第2のソース/ドレイン領域の前記ディープソース/ドレイン部分の上の前記基板の前記頂部表面において金属シリサイドを含む、集積回路。
  7. 請求項1に記載の集積回路であって、前記金属感知ゲートが40ナノメートル〜80ナノメートルの厚みである、集積回路。
  8. 請求項1に記載の集積回路であって、前記金属感知ゲートと同じ金属のトレンチライナーを含む銅ダマシン構造を備える金属相互接続を含む、集積回路。
  9. 請求項1に記載の集積回路であって、前記感知トランジスタの前記ゲート誘電体層と同じ厚みのゲート誘電体層を有する論理nチャネル金属酸化物半導体(MOS)トランジスタを含む、集積回路。
  10. フラッシュメモリを含む集積回路を形成する方法であって、
    半導体材料を含む基板を提供する工程、
    感知ソース/ドレインマスクを前記基板の頂部表面の上に形成する工程であって、前記感知ソース/ドレインマスクが、前記フラッシュメモリの感知トランジスタの第1及び第2の感知ソース/ドレイン領域のためのエリアにおいて前記基板を露出させ、前記第1及び第2の感知ソース/ドレイン領域のための前記エリア間の前記基板を覆う、前記感知ソース/ドレインマスクを形成する工程、
    前記感知ソース/ドレインマスクにより露出された前記エリアにおける前記基板にドーパントを注入する工程、
    前記感知ソース/ドレインマスクを取り除く工程、
    前記感知ソース/ドレインマスクを取り除いた後、前記基板の前記頂部表面上に前記感知トランジスタのゲート誘電体層を形成する工程、
    前記ゲート誘電体層上に少なくとも400ナノメートル幅のポリシリコンの浮遊ゲートを形成する工程、
    前記浮遊ゲートの下に中途まで延在し、200ナノメートル未満分離される、第1及び第2の感知ソース/ドレイン領域を形成するために、前記注入されたドーパントを活性化する工程、
    前記浮遊ゲートの上に頂部ゲート誘電体層を形成する工程、
    前記頂部ゲート誘電体層の上にゲート金属の層を形成する工程、
    ゲート金属の前記層の上にマスクを形成する工程であって、前記マスクが前記浮遊ゲートの上の金属感知のゲートためのエリアを覆うように、前記マスクを形成する工程、及び
    前記頂部ゲート誘電体層上に前記金属感知ゲートを形成するため、前記マスクにより露出された箇所のゲート金属の前記層を取り除く工程、
    を含む、方法。
  11. 請求項10に記載の方法であって、前記金属感知ゲートが、タンタル、窒化タンタル、チタン、及びチタン窒化物から成るグループから選択される金属を含む、方法。
  12. 請求項10に記載の方法であって、前記第1及び第2の感知ソース/ドレイン領域のディープソース/ドレイン部分を形成するため、前記浮遊ゲートをマスクとして用いて、前記浮遊ゲートに近接する前記基板にドーパントを注入することを含み、前記ディープソース/ドレイン部分が、前記浮遊ゲートの外方に配置される、方法。
  13. 請求項12に記載の方法であって、前記第1及び第2の感知ソース/ドレイン領域の前記ディープソース/ドレイン部分上に金属シリサイドを形成することを含む、方法。
  14. 請求項13に記載の方法であって、
    前記浮遊ゲートの上、及び前記第1及び第2の感知ソース/ドレイン領域の前記ディープソース/ドレイン部分の上に、シリサイドブロック誘電体材料の層を形成する工程、
    前記金属シリサイドを形成する前に、前記浮遊ゲートの上にシリサイドブロック誘電体材料の前記層を残すようにシリサイドブロック誘電体材料の前記層をパターニングし、前記第1及び第2の感知ソース/ドレイン領域の前記ディープソース/ドレイン部分の上のシリサイドブロック誘電体材料の前記層を取り除く工程、
    ゲート金属の前記層を形成する前に、前記浮遊ゲート上のシリサイドブロック誘電体材料の前記層の上に及び前記金属シリサイドの上に誘電体障壁層を形成する工程、及び
    前記金属感知ゲートを形成するためゲート金属の前記層を取り除いた後、前記金属シリサイドの上から前記誘電体障壁層を取り除く工程、
    を含み、
    シリサイドブロック誘電体材料の前記層と前記誘電体障壁層との組合せが、前記頂部ゲート誘電体層を提供する、
    方法。
  15. 請求項14に記載の方法であって、シリサイドブロック誘電体材料の前記層が二酸化シリコンを含み、前記誘電体障壁層が、二酸化シリコンのサブ層とシリコン窒化物のサブ層とを含む層スタックである、方法。
  16. 請求項10に記載の方法であって、
    誘電体層において相互接続トレンチを形成する工程、
    前記相互接続トレンチの側壁及び底部上にトレンチライナーを形成する工程、及び
    前記トレンチライナー上に銅を形成する工程、
    を含み、
    前記トレンチライナーが前記金属感知ゲートと同じ組成を有する、
    方法。
  17. 請求項10に記載の方法であって、前記金属感知ゲートを形成するため前記マスクにより露出された箇所のゲート金属の前記層を取り除く工程が、ウェットエッチングプロセスによって実施される、方法。
  18. 請求項17に記載の方法であって、前記ウェットエッチングプロセスが、濃縮水酸化アンモニウム及び過酸化水素の水溶液を含む、方法。
  19. 請求項10に記載の方法であって、前記感知トランジスタの前記ゲート誘電体層と同時に論理MOSトランジスタのゲート誘電体層を形成することを含む、方法。
  20. フラッシュメモリを含む集積回路を形成する方法であって、
    半導体材料を含む基板を提供する工程、
    前記基板の頂部表面の上に感知ソース/ドレインマスクを形成する工程であって、前記感知ソース/ドレインマスクが、前記フラッシュメモリの感知トランジスタの感知ソースドレイン領域のためのエリアにおいて前記基板を露出させ、前記感知ソースドレイン領域のための前記エリア間で前記基板を覆う、前記感知ソース/ドレインマスクを形成する工程、
    前記感知ソース/ドレインマスクにより露出された前記エリアにおける前記基板にドーパントを注入する工程、
    前記感知ソース/ドレインマスクを取り除く工程、
    前記感知ソース/ドレインマスクを取り除いた後、前記基板の前記頂部表面上に前記感知トランジスタのゲート誘電体層を形成する工程、
    前記ゲート誘電体層上に少なくとも400ナノメートル幅のポリシリコンの前記感知トランジスタの浮遊ゲートを形成する工程、
    前記浮遊ゲートの下に中途まで延在し、200ナノメートル未満分離される、前記感知トランジスタの感知ソース/ドレイン領域を形成するために、前記注入されたドーパントを活性化する工程、
    前記感知ソース/ドレイン領域のディープソース/ドレイン部分を形成するために、前記浮遊ゲートをマスクとして用いて前記浮遊ゲートに近接する前記基板にドーパントを注入する工程であって、前記ディープソース/ドレイン部分が前記浮遊ゲートの外方に配置されている、前記ドーパントを注入する工程、
    前記浮遊ゲートの上、及び前記感知ソース/ドレイン領域の前記ディープソース/ドレイン部分の上に、シリサイドブロック誘電体材料の層を形成する工程、
    前記浮遊ゲートの上のシリサイドブロック誘電体材料の層を残すために、シリサイドブロック誘電体材料の前記層をパターニングし、前記感知ソース/ドレイン領域の前記ディープソース/ドレイン部分の上のシリサイドブロック誘電体材料の前記層を取り除く工程、
    前記感知ソース/ドレイン領域の前記ディープソース/ドレイン部分上に耐火性金属の層を形成し、前記ディープソース/ドレイン部分上に金属シリサイド形成するために前記基板を加熱し、前記浮遊ゲート上のシリサイドブロック誘電体材料の前記パターニングされた層が、前記浮遊ゲート上に金属シリサイドが形成しないようにし、その後、反応しなかった金属を取り除く、工程、
    前記浮遊ゲート上のシリサイドブロック誘電体材料の前記パターニングされた層の上及び前記金属シリサイドの上に、誘電体障壁層を形成する工程、
    前記誘電体障壁層の上にゲート金属の層を形成する工程、
    ゲート金属の前記層の上にハードマスク層を形成する工程、
    前記浮遊ゲートの上にハードマスクを形成するため、前記金属シリサイドの上の前記ハードマスク層を取り除く工程、
    前記浮遊ゲートの上に前記感知トランジスタの金属感知ゲートを形成するため、前記ハードマスクにより露出された箇所のゲート金属の前記層を取り除く工程、及び
    前記金属感知ゲートを形成した後、前記金属シリサイドの上から前記誘電体障壁層を取り除く工程、
    を含み、
    シリサイドブロック誘電体材料の前記層と前記誘電体障壁層との組合せが、前記感知トランジスタの頂部ゲート誘電体層を提供する、
    方法。
JP2018506188A 2015-08-05 2016-08-05 金属ゲートプロセスに基づく低コストのフラッシュメモリ製造フロー Active JP7021821B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/819,401 2015-08-05
US14/819,401 US9431253B1 (en) 2015-08-05 2015-08-05 Fabrication flow based on metal gate process for making low cost flash memory
PCT/US2016/045895 WO2017024274A1 (en) 2015-08-05 2016-08-05 Low cost flash memory fabrication flow based on metal gate process

Publications (3)

Publication Number Publication Date
JP2018526821A true JP2018526821A (ja) 2018-09-13
JP2018526821A5 JP2018526821A5 (ja) 2019-09-12
JP7021821B2 JP7021821B2 (ja) 2022-02-17

Family

ID=56739928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018506188A Active JP7021821B2 (ja) 2015-08-05 2016-08-05 金属ゲートプロセスに基づく低コストのフラッシュメモリ製造フロー

Country Status (4)

Country Link
US (2) US9431253B1 (ja)
JP (1) JP7021821B2 (ja)
CN (1) CN107924921B (ja)
WO (1) WO2017024274A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102568562B1 (ko) * 2017-01-24 2023-08-18 삼성전자주식회사 반도체 장치
EP3742476A1 (en) * 2019-05-20 2020-11-25 Infineon Technologies AG Method of implanting an implant species into a substrate at different depths
CN113130516A (zh) 2020-01-15 2021-07-16 联华电子股份有限公司 半导体影像感测元件及其制作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164736A (ja) * 1998-11-30 2000-06-16 Toshiba Corp 不揮発性半導体メモリ及びその製造方法
JP2008205379A (ja) * 2007-02-22 2008-09-04 Toshiba Corp 不揮発性半導体メモリ及びその製造方法
JP2009044053A (ja) * 2007-08-10 2009-02-26 Fujitsu Microelectronics Ltd 半導体装置及びその製造方法
JP2013197533A (ja) * 2012-03-22 2013-09-30 Toshiba Corp 記憶装置及びその製造方法
JP2015070261A (ja) * 2013-09-27 2015-04-13 力旺電子股▲ふん▼有限公司 不揮発性メモリ構造

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5518942A (en) * 1995-02-22 1996-05-21 Alliance Semiconductor Corporation Method of making flash EPROM cell having improved erase characteristics by using a tilt angle implant
KR0179175B1 (ko) 1995-10-05 1999-03-20 문정환 반도체 메모리 장치 및 제조방법
EP1005079B1 (en) 1998-11-26 2012-12-26 STMicroelectronics Srl Process for integrating in a same chip a non-volatile memory and a high-performance logic circuitry
US6628544B2 (en) * 1999-09-30 2003-09-30 Infineon Technologies Ag Flash memory cell and method to achieve multiple bits per cell
JP3479010B2 (ja) * 1999-11-04 2003-12-15 Necエレクトロニクス株式会社 不揮発性半導体記憶装置の製造方法
JP3829088B2 (ja) * 2001-03-29 2006-10-04 株式会社東芝 半導体記憶装置
US6914820B1 (en) * 2002-05-06 2005-07-05 Multi Level Memory Technology Erasing storage nodes in a bi-directional nonvolatile memory cell
US6642111B1 (en) * 2002-07-09 2003-11-04 Powerchip Semiconductor Corp. Memory device structure and method of fabricating the same
JP4829015B2 (ja) * 2006-06-20 2011-11-30 株式会社東芝 不揮発性半導体記憶装置
JP2009016688A (ja) 2007-07-06 2009-01-22 Sharp Corp 半導体装置の製造方法
US7745295B2 (en) * 2007-11-26 2010-06-29 Micron Technology, Inc. Methods of forming memory cells
US20100163952A1 (en) 2008-12-31 2010-07-01 Chia-Hong Jan Flash Cell with Integrated High-K Dielectric and Metal-Based Control Gate
KR102006586B1 (ko) * 2010-08-06 2019-08-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
CN101941696B (zh) * 2010-09-15 2012-07-04 复旦大学 一种适用于石墨烯基场效应管制造的纳米光刻方法
US20120223381A1 (en) 2011-03-03 2012-09-06 Lu Hau-Yan Non-volatile memory structure and method for manufacturing the same
US8930866B2 (en) * 2013-03-11 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164736A (ja) * 1998-11-30 2000-06-16 Toshiba Corp 不揮発性半導体メモリ及びその製造方法
JP2008205379A (ja) * 2007-02-22 2008-09-04 Toshiba Corp 不揮発性半導体メモリ及びその製造方法
JP2009044053A (ja) * 2007-08-10 2009-02-26 Fujitsu Microelectronics Ltd 半導体装置及びその製造方法
JP2013197533A (ja) * 2012-03-22 2013-09-30 Toshiba Corp 記憶装置及びその製造方法
JP2015070261A (ja) * 2013-09-27 2015-04-13 力旺電子股▲ふん▼有限公司 不揮発性メモリ構造

Also Published As

Publication number Publication date
CN107924921B (zh) 2022-06-14
CN107924921A (zh) 2018-04-17
US20170040332A1 (en) 2017-02-09
US10211303B2 (en) 2019-02-19
US9431253B1 (en) 2016-08-30
JP7021821B2 (ja) 2022-02-17
WO2017024274A1 (en) 2017-02-09

Similar Documents

Publication Publication Date Title
US8871597B2 (en) High gate density devices and methods
CN107039531B (zh) 半导体结构及其形成方法
US8361848B2 (en) Precise resistor on a semiconductor device
US7955919B2 (en) Spacer-less transistor integration scheme for high-K gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe and strained silicon schemes
US7871915B2 (en) Method for forming metal gates in a gate last process
US6908801B2 (en) Method of manufacturing semiconductor device
US8058125B1 (en) Poly resistor on a semiconductor device
US8659089B2 (en) Nitrogen passivation of source and drain recesses
US10002878B2 (en) Complementary SONOS integration into CMOS flow
KR20110005802A (ko) 장채널 및 단채널 금속 게이트 디바이스들을 갖는 집적회로와 그의 제조방법
KR20150099369A (ko) 전계 효과 트랜지스터의 콘택 에칭 정지층
US9613965B2 (en) Embedded transistor
US8815699B2 (en) Fabrication of reverse shallow trench isolation structures with super-steep retrograde wells
US20100075476A1 (en) Semiconductor device fabrication method
US8946805B2 (en) Reduced area single poly EEPROM
KR100843879B1 (ko) 반도체 소자 및 그 제조 방법
US20150200270A1 (en) Field effect transistors for high-performance and low-power applications
US20060134874A1 (en) Manufacture method of MOS semiconductor device having extension and pocket
JP7021821B2 (ja) 金属ゲートプロセスに基づく低コストのフラッシュメモリ製造フロー
KR20170001945A (ko) 반도체 장치
US8273645B2 (en) Method to attain low defectivity fully silicided gates
KR100983514B1 (ko) 반도체소자 제조 방법
KR20070002664A (ko) 반도체 소자의 듀얼게이트 제조방법
KR101100752B1 (ko) 반도체 소자의 제조 방법
US20060166442A1 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20180205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190731

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201205

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210602

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210623

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210922

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220131

R150 Certificate of patent or registration of utility model

Ref document number: 7021821

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150