JP2018510230A - 窒化ケイ素の除去のためのcmp組成物 - Google Patents

窒化ケイ素の除去のためのcmp組成物 Download PDF

Info

Publication number
JP2018510230A
JP2018510230A JP2017540578A JP2017540578A JP2018510230A JP 2018510230 A JP2018510230 A JP 2018510230A JP 2017540578 A JP2017540578 A JP 2017540578A JP 2017540578 A JP2017540578 A JP 2017540578A JP 2018510230 A JP2018510230 A JP 2018510230A
Authority
JP
Japan
Prior art keywords
polishing composition
colloidal silica
silica particles
polishing
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017540578A
Other languages
English (en)
Other versions
JP2018510230A5 (ja
JP6822966B2 (ja
Inventor
フン−ツォン ホワーン
フン−ツォン ホワーン
ミーン−チー イェー
ミーン−チー イェー
チー−ピン ツァイ
チー−ピン ツァイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of JP2018510230A publication Critical patent/JP2018510230A/ja
Publication of JP2018510230A5 publication Critical patent/JP2018510230A5/ja
Application granted granted Critical
Publication of JP6822966B2 publication Critical patent/JP6822966B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • C09D1/02Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances alkali metal silicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Dispersion Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本発明は、(a)Mg、Ca、Al、B、Be及びこれらの組み合わせから選択される金属イオンで表面改質されたコロイド状シリカ粒子であって、コロイド状シリカ粒子は、粒子の表面積の1nm2当たり約1.5の水酸基〜1nm2当たり約8の水酸基の表面水酸基密度を有する、コロイド状シリカ粒子と、(b)アニオン性界面活性剤と、(c)緩衝剤と、(d)水と、を含む、化学機械研磨用組成物を提供し、前記研磨用組成物は約2〜約7のpHを有しており、前記研磨用組成物は、金属を酸化させる酸化剤を含まない、または実質的に含まない。本発明は、基板を本発明の前記化学機械研磨用組成物で化学的に機械的に研磨する方法を更に提供する。通常、前記基板は、窒化ケイ素、酸化ケイ素及び/またはポリシリコンを含む。【選択図】なし

Description

実現技術として、高誘電率メタルゲートモジュールは、性能改善のための最新の論理デバイス(技術ノード45nm以降)のために導入された。置換メタルゲート(ゲートラスト)方法は、先進デバイス製造の高誘電率メタルゲートモジュール、特に20nm以降における主流技術になった。置換メタルゲートモジュールにおいて、選択的な酸化物/窒化物研磨、ポリオープン研磨(POP)及び自己整列コンタクト(SAC)を含む、いくつかの重要なCMPプロセスは、厳格な平坦性及び欠陥要件を満たすために最適化されなければならない。装置を製造する複数のプロセスが存在する一方で、しばしば窒化ケイ素は、キャッピング層として及びエッチ停止層として使用される。POP及びSAC工程において、選択的にキャッピング窒化ケイ素層を除去して、正確なゲートの高さ調節のためのポリシリコンゲートまたは酸化物層のウェルを停止して、及びゲート周辺で酸化物損失または腐食を最小化することは、非常に難易度が高い。一方、金属層の堆積及びゲートを形成するため続いて起きる金属研磨の後、POP工程の腐食はアルミニウムなどの金属残渣をもたらし得る。
置換メタルゲート(RMG)プロセスにおける、CMP研磨の2つの工程は通常、(i)トポグラフィー平坦化としてバルク酸化物を除去し、窒化物応力及びキャップ層に選択的に停止すること、ならびに(ii)酸化物に対する調整可能な選択性を有する、高い比率の窒化物研磨、である。一般的に第1の工程におけるシリカ系またはセリア系スラリーは、窒化物に対するより高い酸化物の選択性でなければならない。実際には、窒化物損失の不均一性及び周囲の酸化物ディッシングがある。POP研磨がこの表面トポグラフィーを最小化することは決定的である、またはそれは不十分なゲート高さ調節、及びその後の金属被覆化工程の欠陥をもたらし得る。一般に、POP研磨がRMGプロセスの厳しい要求を満たすために、酸化物選択性に対して順応性のある窒化物が必要である。SACの挑戦は同様に、優れた平坦化効率及びトポグラフィーを提供する酸化物に対する、より高い窒化物選択性を同様に必要とする。
したがって当該技術分野において、窒化ケイ素、酸化ケイ素及びポリシリコンの望ましい調整可能な選択性を提供でき、ならびに適切な除去速度を有する、研磨用組成物及び方法の必要性は依然としてある。
本発明は、(a)Mg、Ca、Al、B、Be及びこれらの組み合わせから選択される金属イオンで表面改質されたコロイド状シリカ粒子であって、コロイド状シリカ粒子は、粒子の表面積の1nm当たり約1.5の水酸基〜1nm当たり約8の水酸基の表面水酸基密度を有する、コロイド状シリカ粒子と、(b)アニオン性界面活性剤と、(c)緩衝剤と、(d)水と、を含む、化学機械研磨用組成物を提供し、研磨用組成物は約2〜約7のpHを有しており、研磨用組成物は、金属を酸化させる酸化剤を含まない、または実質的に含まない。
本発明は、(i)研磨パッド、ならびに(a)Mg、Ca、Al、B、Be及びこれらの組み合わせから選択される金属イオンで表面改質されたコロイド状シリカ粒子であって、コロイド状シリカ粒子は、粒子の表面積の1nm当たり約1.5の水酸基〜1nm当たり約8の水酸基の表面水酸基密度を有する、コロイド状シリカ粒子と、(b)アニオン性界面活性剤と、(c)緩衝剤と、(d)水と、を含む、化学機械研磨用組成物に基板を接触させることであって、研磨用組成物は約2〜約7のpHを有しており、研磨用組成物は、金属を酸化させる酸化剤を含まない、または実質的に含まず、(ii)基板に対して、研磨パッド及び化学機械研磨用組成物を移動すること、ならびに(iii)基板の少なくとも一部を摩損して基板を研磨すること、を含む、基板を化学的に機械的に研磨する方法も提供する。
本発明は、(a)Mg、Ca、Al、B、Be及びこれらの組み合わせから選択される金属イオンで表面改質されたコロイド状シリカ粒子であって、コロイド状シリカ粒子は、粒子の表面積の1nm当たり約1.5の水酸基〜1nm当たり約8の水酸基の表面水酸基密度を有する、コロイド状シリカ粒子と、(b)アニオン性界面活性剤と、(c)緩衝剤と、(d)水と、を含む、それから本質的になる、またはそれからなる、化学機械研磨用組成物を提供し、研磨用組成物は約2〜約7のpHを有しており、研磨用組成物は、金属を酸化させる酸化剤を含まない、または実質的に含まない。
研磨用組成物は、Mg、Ca、Al、B、Be及びこれらの組み合わせから選択される金属イオンで表面改質されたコロイド状シリカ粒子からなる研磨剤を含む。好ましい実施形態では、金属イオンはアルミニウムイオン(すなわち、Al(III))である。コロイド状シリカ粒子は任意の好適なコロイド状シリカ粒子であり得て、通常「湿式」コロイド状シリカ粒子である。本明細書で使用する場合「湿式」シリカとは、沈殿、縮合重合または類似の方法(例えばフュームドシリカまたは焼成シリカとは対照的に)により調製される、シリカを意味する。コロイド状シリカ粒子は金属イオンがない状態で調製されて、その結果、Mg、Ca、Al、B及び/またはBeから選択される金属イオンによる表面改質の前に、コロイド状シリカ粒子は金属イオンを含まない、または実質的に含まない。好ましくは、コロイド状シリカ粒子は、Si(OH)の縮合重合により調製される。前駆体Si(OH)は、例えば、テトラエチルオルソシリケート(TEOS)などの高純度アルコキシシランの加水分解によって得ることができる。このようなコロイド状シリカは、DuPont、Bayer、Applied Research、Nissan Chemical及びClariantから入手可能な他の類似の製品と同様に、Fuso PL−1、PL−1H、PL−1SL、PL−2、PL−2L、PL−3、PL−3H、PL−3L、PL−5、PL−6L、PL−7、PL−7H、PL−10H、PL−SH3及びPL−20製品、ならびにNalco1050、2327及び2329製品などの種々の市販品として得ることができる。
コロイド状シリカ粒子は、粒子の表面積の1nm当たり約1.5以上の水酸基、例えば1nm当たり約1.6以上の水酸基、1nm当たり約1.7以上の水酸基、1nm当たり約1.8以上の水酸基、1nm当たり約1.9以上の水酸基、1nm当たり約2.0以上の水酸基、1nm当たり約2.2以上の水酸基、1nm当たり約2.4以上の水酸基、1nm当たり約2.6以上の水酸基、1nm当たり約2.8以上の水酸基、1nm当たり約3以上の水酸基、1nm当たり約4以上の水酸基、または1nm当たり約5以上の水酸基、の表面水酸基密度を有することができる。あるいはまたは加えて、コロイド状シリカ粒子は、粒子の表面積の1nm当たり約8以下の水酸基、例えば1nm当たり約7.5以下の水酸基、1nm当たり約7以下の水酸基、1nm当たり約6.5以下の水酸基、1nm当たり約6以下の水酸基、1nm当たり約5.5以下の水酸基、1nm当たり約5以下の水酸基、の表面水酸基密度を有することができる。したがってコロイド状シリカ粒子は、上述の任意の2つの端点によって画定される、表面水酸基密度を有することができる。例えばコロイド状シリカ粒子は、粒子の表面積の1nm当たり約1.5の水酸基〜1nm当たり約8の水酸基、1nm当たり約1.6の水酸基〜1nm当たり約8の水酸基、1nm当たり約1.7の水酸基〜1nm当たり約8の水酸基、1nm当たり約1.8の水酸基〜1nm当たり約8の水酸基、1nm当たり約1.9の水酸基〜1nm当たり約8の水酸基、1nm当たり約2.0の水酸基〜1nm当たり約8の水酸基、1nm当たり約2.2の水酸基〜1nm当たり約8の水酸基、1nm当たり約2.4の水酸基〜1nm当たり約8の水酸基、1nm当たり約2.6の水酸基〜1nm当たり約8の水酸基、1nm当たり約2.8の水酸基〜1nm当たり約8の水酸基、1nm当たり約3の水酸基〜1nm当たり約8の水酸基、1nm当たり約3の水酸基〜1nm当たり約7.5の水酸基、1nm当たり約3の水酸基〜1nm当たり約7の水酸基、1nm当たり約3の水酸基〜1nm当たり約6.5の水酸基、1nm当たり約3の水酸基〜1nm当たり約6の水酸基、1nm当たり約4の水酸基〜1nm当たり約6の水酸基、の表面水酸基密度を有することができる。
コロイド状シリカ粒子の表層水酸基密度は、任意の好適な方法も使用して判定できる。一実施形態において、コロイド状シリカ粒子の水性分散液は、滴定試料を調製するために、pH4.0に調節することができる。滴定試料は、pH9.0までの既知濃度を有する水酸化ナトリウム水溶液にて滴定されて、滴定試料に存在する水酸基の数を測定できる。コロイド状シリカ粒子の表面積は、例えばBET法による、任意の好適な方法を使用して測定することができる。1nm当たりの水酸基の数は、このように測定することができる。
コロイド状シリカ粒子は、任意の好適な方法を使用して表面改質することができる。一実施形態において、コロイド状シリカ粒子は水などの担体に分散して、その後金属イオンの好適な供給源で表面処理される。一実施形態において、金属イオンの供給源は水溶性金属塩である。例えば、コロイド状シリカ粒子の表面が金属イオンと結合するように、コロイド状シリカ粒子の水性分散液は金属塩の水溶液で処理することができる。金属イオンとコロイド状シリカ粒子の表面の結合は、コロイド状シリカ粒子の表面で見出せるシラノール基との結合を含むと考えられる。金属イオンは、コロイド状シリカ粒子の表面と結合しており、粒子の内部にはない。
コロイド状シリカ粒子は、粒子の表面積1nm当たり約0.1以上、例えば約0.11以上、約0.12以上、約0.13以上、約0.14以上、または約0.15以上の金属イオンを含む。あるいは、または加えて、コロイド状シリカ粒子は、粒子の表面積1nm当たり約0.2以下、例えば約0.19以下、約0.18以下、約0.17以下、または約0.16以下の金属イオンを含む。したがってコロイド状シリカ粒子は、上述の任意の2つの端点によって画定される、粒子の表面積1nm当たりの金属イオンの量を含むことができる。例えばコロイド状シリカ粒子は、粒子の表面積1nm当たり約0.1〜約0.2、約0.1〜約0.19、約0.1〜約0.18、約0.1〜約0.17、約0.1〜約0.16、約0.11〜約0.2、約0.12〜約0.2、約0.13〜約0.2、約0.14〜約0.2、または約0.15〜約0.2の金属イオンを含むことができる。
粒子の表面積1nm当たりの金属イオンの量は、任意の好適な方法を使用して測定することができる。一実施形態において、表面積は、例えばBET法を使用して測定することができる。コロイド状シリカ粒子の水性分散液は、金属イオンの既知濃度を有する水溶液で処理することができる。適切な処理期間の後、溶液に残留する金属イオンの濃度を測定できる。出発金属イオン濃度と処理後の濃度の差は、コロイド状シリカ粒子の表面と結合する金属イオンの量を表し、それによって粒子の表面積1nm当たりの金属イオンの量の算出が可能になる。
コロイド状シリカ粒子は、任意の好適な平均粒径を有することができる。コロイド状シリカ粒子は、約20nm以上、約25nm以上、約30nm以上、約35nm以上、約40nm以上、約45nm以上または約50nm以上の平均粒径を有することができる。あるいは、または加えて、コロイド状シリカ粒子は、約100nm以下、例えば約90nm以下、約80nm以下、約70nm以下または約60nm以下の平均粒径を有することができる。したがってコロイド状シリカ粒子は、上述の任意の2つの端点によって画定される、平均粒径を有することができる。例えばコロイド状シリカ粒子は、約20nm〜約100nm、約20nm〜約90nm、約20nm〜約80nm、約20nm〜約70nm、約20nm〜約60nm、約30nm〜約100nm、約30nm〜約90nm、約30nm〜約80nm、約30nm〜約70nm、または約30nm〜約60nmの平均粒径を有することができる。コロイド状シリカは、任意の好適なモルフォロジーを有することができる。コロイド状シリカは、球状形態、楕円形態または非球状「繭」形態を有することができる。粒子のサイズは、粒子を包含する最も小さい球体の直径である。コロイド状シリカ粒子の粒径は、任意の好適な技術、例えばレーザー回折技術を使用して測定できる。好適な粒径測定器具は、例えばMalvern Instruments(Malvern、UK)から入手可能である。
コロイド状シリカ粒子は好ましくは、本発明の研磨用組成物においてコロイド的に安定である。コロイドという用語は、液体担体(例えば水)中の粒子の懸濁液を意味する。「コロイド安定性」とは、時間によるその混濁液の維持を意味する。本発明に関連して、研磨剤が100mLメスシリンダー内に入れられて2時間撹拌されないままでいるとき、メスシリンダーの下部50mLの粒子濃度([B]単位g/mL)とメスシリンダー上部50mLの粒子濃度([T]単位g/mL)の差を、研磨剤組成物の粒子の最初の濃度([C]単位g/mL)で割り、それが0.5以下であった場合(すなわち、{[B]−[T]}/[C]≦0.5)、研磨剤はコロイド的に安定であると見なされる。より好ましくは[B]−[T]/[C]値は0.3以下、最も好ましくは0.1以下である。
研磨用組成物は、任意の好適な量のコロイド状シリカ粒子を含むことができる。研磨用組成物は、コロイド状シリカ粒子の約5重量%以下、例えば約4重量%以下、約3重量%以下、約2重量%以下、約1重量%以下、約0.9重量%以下、約0.8重量%以下、約0.7重量%以下、約0.6重量%以下または約0.5重量%以下を含むことができる。あるいは、または加えて、研磨用組成物は、コロイド状シリカ粒子の約0.1重量%以上、例えば約0.2重量%以上、約0.3重量%以上、約0.4重量%以上、約0.5重量%以上または約1重量%以上を含むことができる。したがって研磨用組成物は、上述の任意の2つの端点によって画定される量のコロイド状シリカ粒子を含むことができる。例えば研磨用組成物は、約0.1重量%〜約5重量%のコロイド状シリカ粒子、約0.1重量%〜約4重量%、約0.1重量%〜約3重量%、約0.1重量%〜約2重量%、約0.1重量%〜約1重量%、約0.2重量%〜約2重量%または約0.2重量%〜約1重量%のコロイド状シリカ粒子を含むことができる。
研磨用組成物は、アニオン性界面活性剤を含む。アニオン性界面活性剤は、カルボン酸、スルホン酸、リン酸及びこれらの組み合わせから選択される官能基を含む、モノマーのポリマーまたはコポリマーであり得る。一実施形態において、アニオン性界面活性剤は、アクリル酸モノマーを含むポリマーまたはコポリマーである。好ましい実施形態において、アニオン性界面活性剤はポリ(アクリル酸−コ−マレイン酸)コポリマーである。
研磨用組成物は、任意の好適な量のアニオン性界面活性剤を含むことができる。研磨用組成物は、アニオン性界面活性剤の約1ppm以上、例えば5ppm以上、約10ppm以上、約25ppm以上または約50ppm以上を含むことができる。あるいは、または加えて、研磨用組成物は、アニオン性界面活性剤の約1000ppm以下、例えば約900ppm以下、約800ppm以下、約700ppm以下、約600ppm以下、約500ppm以下、約400ppm以下、約300ppm以下または約200ppm以下を含むことができる。したがって研磨用組成物は、上述の任意の2つの端点によって画定される量のアニオン性界面活性剤を含むことができる。例えば研磨用組成物は、アニオン性界面活性剤の約1ppm〜約1000ppm、約5ppm〜約500ppm、約5ppm〜約400ppm、約5ppm〜約300ppm、約5ppm〜約200ppm、または約10ppm〜約200ppmなどを含むことができる。
アニオン性界面活性剤がポリマーまたはコポリマーであるとき、アニオン性界面活性剤は任意の好適な分子量を有することができる。一般的にアニオン性界面活性剤は、約100,000ダルトン以下、例えば約90,000ダルトン以下、約80,000ダルトン以下、約70,000ダルトン以下、約60,000ダルトン以下、または約50,000ダルトン以下の分子量を有することができる。
他の実施形態において、アニオン性界面活性剤は、スルホン酸基を含むポリマーまたはコポリマーであり得る。スルホン酸基を含むポリマーまたはコポリマーの非限定例は、ポリスチレンスルホン酸及びWE001を含む。
他の実施形態において、アニオン性界面活性剤は、スルホン酸基を含むモノマー界面活性剤であり得る。界面活性剤は、以下の構造を有するアルキルジフェニルオキシドスルホネート界面活性剤であり得て、
Figure 2018510230
ここで、RはC〜C30、好ましくはC〜C30、より好ましくはC〜C22の直鎖もしくは分枝鎖の、飽和または不飽和アルキル基であり、アルキル基は所望により、O及びNからなる群から選択される1つ以上のヘテロ原子を任意に含み、Xは、Hまたはカチオン、例えばアルカリ金属カチオンまたはアルカリ土類カチオン(例えばナトリウム、カリウム、リチウム、カルシウム、マグネシウムなど)である。
好適なアルキルジフェニルオキシドスルホネート界面活性剤の例は、商品名Dowfax(商標)2A1、Dowfax(商標)3B2、Dowfax(商標)8390、Dowfax(商標)C6L、Dowfax(商標)C10L、及びDowfax(商標)30599でDow Chemical Company(Midland、MI)から市販されている界面活性剤を含む。
他の実施形態において、アニオン性界面活性剤は、ドデシルベンゼンスルホネートなどの、直鎖または分枝鎖のアルキルベンゼンスルホネートであり得る。
アニオン性界面活性剤は、遊離酸、その塩(例えばナトリウム、カリウムもしくはアンモニウム塩)の形態であり得て、またはアニオン性界面活性剤が複数の酸性基を有するとき、その部分的な塩の形態であり得ることは理解されるであろう。
特定の実施形態では、研磨用組成物は、ノニオン性界面活性剤を更に含むことができる。ノニオン性界面活性剤は、任意の好適なノニオン性界面活性剤であり得る。好適なノニオン性界面活性剤の非限定例は、BRIJ(商標)及びポリエチレンオキシド界面活性剤、PLURONIC(商標)L−64、ポリビニルメチルエーテル、ポリエチレングリコール、ポリオキシエチレン23ラウリルエーテル、ポリプロパン酸、ポリアクリル酸、及びポリエーテルグリコールビス(エーテル)を含む。
化学機械研磨用組成物は、1つ以上の緩衝剤、すなわち研磨用組成物のpHを調整できる化合物を含むことができる。研磨用組成物のpHは、研磨用組成物のpHを調整できる任意の好適な緩衝剤を使用して、調整できる。緩衝剤は任意の好適な緩衝剤であり得て、有機緩衝剤または無機緩衝剤であり得る。一実施形態において、緩衝剤は、有機カルボン酸、例えば酢酸であり得る。緩衝剤は望ましくは水溶性であり、研磨用組成物の他の成分と相溶性がある。通常、化学機械研磨用組成物は、使用箇所で約2〜約7のpHを有する。好ましくは化学機械研磨用組成物は、使用箇所で約3〜約4のpHを有する。
通常、緩衝剤は、研磨用組成物の酸性pHを緩衝することができる。したがって、研磨用組成物のpHが7.0未満、例えば6.5+/−0.5、6.0+/−0.5、5.5+/−0.5、5.0+/−0.5、4.5+/−0.5、4.0+/−0.5、3.5+/−0.5、3.0+/−0.5、2.5+/−0.5または2.0+/−0.5であることが望ましい。緩衝剤は通常、25℃で測定するとき、約3〜約7のpKaを有する少なくとも1つのイオン性基を有する。
研磨用組成物は、金属を酸化させる酸化剤を含まない、または実質的に含まない。本明細書で使用する場合「酸化剤を含まない」という語句は、研磨用組成物が材料を酸化する微量汚染物質を超えない量だけを含むことを意味し、その量は、CMPの間、組成物で得られる任意の金属除去速度に影響を及ぼすには不十分である。特定の実施形態において、研磨用組成物は、過酸化水素、硝酸第二鉄、ヨウ素酸カリウム、過酢酸及び過マンガン酸カリウムを含まない、または実質的に含まない。
化学機械研磨用組成物は所望により、1つ以上の添加剤を更に含む。例示の添加剤は、塗布剤、錯化剤、キレート薬、殺生物剤、スケール防止剤、分散剤などを含む。
存在する場合、殺生物剤は任意の好適な殺生物剤であり得て、任意の好適な量で研磨用組成物中に存在し得る。適切な殺生物剤は、イソチアゾリノン殺生物剤である。研磨用組成物で使用する殺生物剤の量は、通常約1〜約50ppm、好ましくは約10〜約20ppmである。
研磨用組成物が水に溶解されるとき、酸、塩基または塩(例えば、アニオン性界面活性剤、緩衝剤など)である研磨用組成物の成分のいずれかが、カチオン及びアニオンとして解離した形態で存在できることが理解されよう。本明細書で詳述される研磨用組成物中に存在するこのような化合物の量は、研磨用組成物の調製で使用する解離してない化合物の重量を意味すると理解される。
研磨用組成物は任意の好適な技術により作製することができ、その多くは当業者に周知である。研磨用組成物は、バッチまたは連続プロセスで調製することができる。一般的に研磨用組成物は、研磨用組成物の構成成分を混合することにより調製される。本明細書で使用する場合「構成成分」という用語は、個々の成分(例えば、金属イオンで表面改質したコロイド状シリカ粒子、アニオン性界面活性剤、緩衝剤及び/または任意の添加剤)、同様に成分(例えば、金属イオンで表面改質したコロイド状シリカ粒子、アニオン性界面活性剤、緩衝剤など)の任意の組み合わせを含む。
例えば研磨用組成物は、(i)液体担体のすべてまたは一部を提供すること、(ii)このような分散液を調製するための任意の好適な手段を使用して、金属イオンで表面改質したコロイド状シリカ粒子、アニオン性界面活性剤、緩衝剤及び/または任意の添加剤を分散させること、(iii)適切なように分散液のpHを調整すること、ならびに(iv)所望により、他の任意の構成成分及び/または添加剤の好適な量を混合物に加えること、により調製することができる。
あるいは研磨用組成物は、(i)1つ以上の構成成分(例えば、液体担体、アニオン性界面活性剤、緩衝剤及び/または任意の添加剤)を、金属イオンで表面改質したコロイド状シリカ粒子の懸濁液に提供すること、(ii)1つ以上の構成成分を、添加剤溶液(例えば、液体担体、アニオン性界面活性剤、緩衝剤及び/または任意の添加剤)に提供すること、(iii)金属イオンで表面改質したコロイド状シリカ粒子の懸濁液と、添加剤溶液を混合して、混合液を形成すること、(iv)所望により、他の任意の添加剤の好適な量を混合液に加えること、ならびに(v)適切な様に混合物のpHを調整すること、により調製することができる。
研磨用組成物は、金属イオンで表面改質したコロイド状シリカ粒子、アニオン性界面活性剤、緩衝剤及び/または任意の添加剤ならびに水を含む、1パッケージ系として供給できる。あるいは本発明の研磨用組成物は、金属イオンで表面改質したコロイド状シリカ粒子のスラリー及び添加剤溶液を含む、2パッケージ系として供給され、金属イオンで表面改質したコロイド状シリカ粒子のスラリーは、金属イオンで表面変更したコロイド状シリカ粒子及び水から本質的になる、またはなり、添加剤溶液は、アニオン性界面活性剤、緩衝剤及び/もしくは任意の添加剤から本質的になる、またはなる。
このような2パッケージ研磨系を利用するために、種々の方法を使用できる。例えば、金属イオンで表面改質したコロイド状シリカ粒子のスラリーと添加剤溶液は、供給管の放出口で接続連結した異なる管によって研磨定盤へ供給される。金属イオンで表面改質したコロイド状シリカ粒子のスラリーと添加剤溶液は、研磨の前の短時間でもしくはその直前に混合することができる、または研磨定盤に同時に供給することができる。更に2パッケージを混合するとき、所望の場合、脱イオン水を加えて、研磨用組成物を調整し、基板研磨特性を得ることができる。
同様に3、4以上のパッケージ系を、本発明に関連して利用でき、複数の容器のそれぞれは、本発明の化学機械研磨用組成物、1つ以上の任意成分及び/または異なる濃度の1つ以上の同じ成分を含む。
2つ以上の貯蔵装置に含まれている成分を混合して、使用箇所でまたはその近くで、研磨用組成物を生成するために、貯蔵装置は通常、各貯蔵装置から研磨用組成物の使用箇所(例えば、プラテン、研磨パッドまたは基板表面)まで通じている、1つ以上の流路と共に提供される。本明細書で使用される場合「使用箇所」という用語は、研磨用組成物が基板表面に適用される位置(例えば、研磨パッドまたは基板表面自体)を意味する。「流路」という用語は、個々の貯蔵容器から、そこに貯蔵される成分の使用箇所への流路を意味する。流路はそれぞれ、直接使用箇所へ至ることができる、または流路のうちの2つ以上は、使用箇所に至る1つの流路内の任意の時点で結合することができる。更に流路のいずれか(例えば個々の流路または組み合わせた流路)は、成分(複数可)の使用箇所に達する前に、最初に1つ以上の他の装置(例えばポンプ装置、測定装置、混合装置など)に至ることができる。
研磨用組成物の成分は、それぞれ使用箇所に供給されることができる(例えば研磨工程の間、その上で成分が混合される基板表面に、成分は供給される)、または1つ以上の成分は、使用箇所への送達前に、例えば研磨の前の短時間でもしくはその直前に混合することができる。プラテン上に混合形態で加えられる前の約5分以内、例えばプラテン上に混合形態で加えられる前約4分以内、約3分以内、約2分以内、約1分以内、約45秒以内、約30秒以内、約10秒以内で成分が混合される場合、または使用箇所(例えば、成分はディスペンサーで混合される)への成分の供給と同時に成分が混合される場合、成分は「使用箇所へ送達される直前に」混合される。使用箇所の1m以内または使用箇所10cm以内(例えば使用箇所の1cm以内)など、成分が使用箇所の5m以内で混合される場合も、成分は「使用箇所へ送達される直前に」混合される。
研磨用組成物の成分のうちの2つ以上が使用箇所に達する前に混合されるとき、成分は流路中に混合されることができて、混合装置を使用せずに使用箇所に供給できる。あるいは、流路のうちの1つ以上は混合装置内に至り、成分のうちの2つ以上の混合を促進できる。任意の好適な混合装置を使用することができる。例えば混合装置は、成分のうちの2つ以上が流れる、ノズルまたはジェット(例えば、高圧ノズルまたはジェット)であり得る。あるいは混合装置は、それによって研磨懸濁液の2つ以上の成分がミキサーに導入される、1つ以上の流入口、及び直接または他の装置の要素を介して(例えば、一つ以上の流路を介して)、それを通して混合した成分がミキサーから出て使用箇所へ供給される、少なくとも1つの放出口、を含む、容器型混合装置であり得る。更に混合装置は複数のチャンバを含むことができ、各チャンバは少なくとも1つの流入口及び少なくとも1つの放出口を有し、2つ以上の成分は各チャンバで混合される。容器型の混合装置が用いられる場合、混合装置は好ましくは、成分の混合を更に促進する混合機構を含む。混合機構は一般に当該技術分野において周知であり、スターラー、混合機、攪拌機、パドル整流装置、振動子(オシログラフ)、振動器などを含む。
本発明の研磨用組成物を、使用の前に好適な量の水で希釈されることが意図される濃縮液として提供することもできる。このような実施形態では、濃縮液を好適な水量で希釈する際、研磨用組成物の各成分が、各成分に上述した適切な範囲内の量で研磨用組成物に存在するような量で、研磨用組成物濃縮液は、研磨用組成物の構成成分を含む。例えば、濃縮液が等量の水(例えばそれぞれ2当量の水、3当量の水または4当量の水)で希釈されるとき、各成分が、各成分に上述した適切な範囲内の量で研磨用組成物に存在するように、各成分に上述した濃縮液より約2倍超(例えば約3倍、約4倍または約5倍)の量で、金属イオンで表面改質したコロイド状シリカ粒子、アニオン性界面活性剤、緩衝剤及び/または任意の添加剤は、それぞれ濃縮液に存在することができる。更に当業者に理解されるように、金属イオンで表面改質したコロイド状シリカ粒子、アニオン性界面活性剤、緩衝剤及び/または任意の添加剤が、濃縮液に少なくとも部分的に、または完全に溶解することを確実にするために、濃縮液は最終的な研磨用組成物に存在する、適切な割合の水を含むことができる。
本発明は、(i)本明細書に記載されるように研磨パッド及び化学機械研磨用組成物を基板に接触させること、(ii)それらの間に化学機械研磨用組成物を有する基板に対して研磨パッドを移動させること、及び(iii)基板の少なくとも一部を摩損して基板を研磨すること、を含む、基板を化学的に機械的に研磨する方法も提供する。
化学機械研磨用組成物は、任意の好適な基板を研磨するために使用することができて、窒化ケイ素からなる少なくとも1つの層(通常、表面層)を含む基板を研磨するのに特に有用である。好適な基板には、半導体産業で使用するウェハが含まれる。ウェハは通常、例えば金属、金属酸化物、金属窒化物、金属複合体、金属合金、低誘電体またはこれらの組み合わせを含む、またはそれらからなる。本発明の方法は、窒化ケイ素、酸化ケイ素及び/またはポリシリコン、例えば任意の1つ、2つまたは特に上述した材料のすべて3つを含む、基板を研磨するために特に有用である。
特定の実施形態では、基板は、窒化ケイ素及び/または酸化ケイ素と結合したポリシリコンを含む。ポリシリコンは任意の好適なポリシリコンであり得て、その多くは当技術分野において周知である。ポリシリコンは任意の好適な相を有することができ、非晶質、結晶質またはこれらの組み合わせであり得る。酸化ケイ素は同様に任意の好適な酸化ケイ素であり得て、それの多くは、当技術分野において周知である。好適な種類の酸化ケイ素は、ボロホスホシリケイトガラス(BPSG)、PETEOS、熱酸化物、非ドープケイ酸塩ガラス及びHDP酸化物を含むが、これらに限定されない。他の好適な金属酸化物は、部分安定化ジルコニア(PSZ)を含む。
本発明の方法に従って窒化ケイ素を含む基板を研磨するとき、本発明の化学機械研磨用組成物は望ましくは、高い除去速度を示す。例えば本発明の実施形態に従って窒化ケイ素を含むシリコンウェハを研磨するとき、研磨用組成物は望ましくは、約100Å/分以上、150Å/分以上、約200Å/分以上、約250Å/分以上、約300Å/分以上、約350Å/分以上、約400Å/分以上、約450Å/分以上または約500Å/分以上の窒化ケイ素除去速度を示す。
本発明の方法に従って酸化ケイ素を含む基板を研磨するとき、本発明の化学機械研磨用組成物は望ましくは、低い除去速度を示す。例えば本発明の実施例に従って酸化ケイ素を含むシリコンウェハを研磨するとき、研磨用組成物は望ましくは、約50Å/分以下、約45Å/分以下、約40Å/分以下、約35Å/分以下、約30Å/分以下、約25Å/分以下、約20Å/分以下、約15Å/分以下、約10Å/分以下、または約5Å/分以下の酸化ケイ素除去速度を示す。
本発明の方法に従ってポリシリコンを含む基板を研磨するとき、本発明の化学機械研磨用組成物は望ましくは、低い除去速度を示す。例えば本発明の実施例に従ってポリシリコンを含むシリコンウェハを研磨するとき、研磨用組成物は望ましくは、約50Å/分以下、約45Å/分以下、約40Å/分以下、約35Å/分以下、約30Å/分以下、約25Å/分以下、約20Å/分以下、約15Å/分以下、約10Å/分以下、または約5Å/分以下のポリシリコン除去速度を示す。
本発明の化学機械研磨用組成物は、停止層の表面欠陥、欠陥、腐食、浸食及び除去を最小化すると共に、特定の薄層材料に選択的な所望の研磨範囲で効果的な研磨を提供するように、調整することができる。選択性は、研磨用組成物の成分の相対濃度を変えることによって、ある程度制御できる。望ましいとき、本発明の化学機械研磨用組成物は、約5:1以上(例えば、約10以上:1、約15以上:1、約20以上:1または約25以上:1)の窒化ケイ素対酸化ケイ素の研磨選択性で基板を研磨するために使用することができる。更に本発明の化学機械研磨用組成物は、約2以上:1(例えば、約4以上:1、約6以上:1または約8以上:1)の窒化ケイ素対ポリシリコンの研磨選択性で基板を研磨するために使用することができる。
本発明の化学機械研磨用組成物及び方法は特に、化学機械研磨装置と共に使用するのに適している。通常、装置は、使用中、動いており、環状、線状または円運動から生じる速度を有するプラテン、プラテンと接触して、動いているとき、プラテンと共に移動する研磨パッド、及び研磨パッドの表面に対して基板に接触させて移動させることによって研磨される、基板を保持する支持体、を含む。基板の研磨は、研磨パッド及び本発明の研磨用組成物と接触させて基板を配置することと、次いで基板の少なくとも一部を摩損させて基板を研磨するように、基板に対して研磨パッドが移動することにより実施される。
任意の好適な研磨パッドを使用して、化学機械研磨用組成物で基板を研磨できる(例えば、表面を研磨する)。好適な研磨パッドは、例えば織布及び不織布の研磨パッドを含む。更に好適な研磨パッドは、密度、硬度、厚み、圧縮性、圧縮の際にリバウンドする能力及び圧縮弾性率を変化させる、任意の好適なポリマーを含むことができる。好適なポリマーは、例えばポリ塩化ビニル、ポリフッ化ビニル、ナイロン、フルオロカーボン、ポリカーボネート、ポリエステル、ポリアクリレート、ポリエーテル、ポリエチレン、ポリアミド、ポリウレタン、ポリスチレン、ポリプロピレン、これらの共形成物及びこれらの混合物を含む。柔らかいポリウレタン研磨パッドは、本発明の研磨方法と関連して特に有用である。通常のパッドは、SURFIN(商標)000、SURFIN(商標)SSW1、SPM3100(Eminess Technologies)、POLITEX(商標)(Dow Chemical Company)及びPOLYPAS(商標)27(Fujibo)を含むが、これらに限定されない。特に好適な研磨パッドは、Cabot Microelectronicsから市販されている、EPIC(商標)D100パッドである。
望ましくは化学機械研磨装置は、in situ研磨終点検出システムを更に含み、その多くは当技術分野において周知である。研磨した基板表面から反射する光または他の放射線を分析することによって、研磨工程を検査し監視する技術は、当技術分野において周知である。このような方法は、例えば米国特許第5,196,353号、米国特許第5,433,651号、米国特許第5,609,511号、米国特許第5,643,046号、米国特許第5,658,183号、米国特許第5,730,642号、米国特許第5,838,447号、米国特許第5,872,633号、米国特許第5,893,796号、米国特許第5,949,927号及び米国特許第5,964,643号に記載されている。望ましくは、研磨された基板に関する研磨工程の進捗の検査または監視は、研磨終点の判定、すなわち、特定の基板に関して研磨工程をいつ終了するべきかという判定を可能にする。
以下の実施例は本発明を更に示しているが、もちろん、いかなる場合であってもその範囲を何ら制限するとして解釈されるべきではない。
実施例1
本実施例は、窒化ケイ素及び酸化ケイ素の除去速度で、アルミニウムによるコロイド状シリカ粒子の表面改質の効果を示す。
窒化ケイ素及び酸化ケイ素のブランケット層を含む分離基板は、3つの異なる研磨用組成物、研磨用組成物1A〜1Cで研磨した。すべての研磨用組成物は、pH3.5で水中に約80nmの平均粒径を有する1重量%のコロイド状シリカ粒子を含んだ。研磨用組成物1A(対照)は、未処理のコロイド状シリカ粒子を含んだ。研磨用組成物1B及び1C(本発明)は、それぞれ400ppm及び800ppmのアルミニウムの濃度の硝酸アルミニウム水溶液で表面処理された、約80nmの平均粒径を有するコロイド状シリカ粒子を含んだ。研磨の後、窒化ケイ素及び酸化シリコンの除去速度を測定した。結果及び窒化ケイ素/酸化ケイ素の選択性を、表1に記載する。
Figure 2018510230
表1に記載される結果から明らかなように、アルミニウムイオンで表面処理したコロイド状シリカ粒子を含有する、研磨用組成物1B及び1Cはそれぞれ、対照研磨用組成物によって示される窒化ケイ素除去速度より約1.76倍及び約1.79倍高い窒化ケイ素除去速度を示した。アルミニウムイオンで処理したコロイド状シリカ粒子を含有する、研磨用組成物1B及び1Cはそれぞれ、対照研磨用組成物1Aによって示される酸化ケイ素除去速度より約0.10倍及び約0.03倍の酸化ケイ素除去速度を示した。
実施例2
アルミニウムイオンで表面処理したコロイド状シリカ粒子を含む、研磨用組成物で観察される、窒化ケイ素除去速度及び酸化ケイ素除去速度と、粒子全体にわたってアルミニウムイオンが均一に分布するコロイド状シリカ粒子を含む、研磨用組成物で観察される、窒化ケイ素除去速度及び酸化ケイ素除去速度を、本実施例は比較する。
窒化ケイ素及び酸化ケイ素のブランケット層を含む分離基板は、2つの異なる研磨用組成物、研磨用組成物2A及び2Bで研磨した。研磨用組成物2A(比較)は、約45nmの平均粒径を有し、粒子全体にわたってアルミニウムイオンが均一に分布する1重量%のコロイド状シリカ粒子を含んだ。研磨用組成物2B(本発明)は、アルミニウムイオンで表面処理されており、約50nmの平均粒径を有する1重量%のコロイド状シリカ粒子を含んだ。研磨の後、窒化ケイ素及び酸化シリコンの除去速度を測定した。結果及び窒化ケイ素/酸化ケイ素の選択性を、表2に記載する。
Figure 2018510230
表2に記載される結果から明らかなように、アルミニウムイオンで表面処理したコロイド状シリカ粒子を含有する、研磨用組成物2Bは、粒子全体にわたってアルミニウムイオンが均一に分布するコロイド状シリカ粒子を含有する、研磨用組成物2Aによって示される窒化ケイ素除去速度より約1.3倍の窒化ケイ素除去速度を示した。更に研磨用組成物2Bは、研磨用組成物2Aによって示されるSiN/SiO選択性より約1.22倍高いSiN/SiO選択性を示した。
実施例3
本実施例は、アルミニウムイオンで表面処理したコロイド状シリカ粒子を含む研磨用組成物で観察される、パターン形成した酸化シリコン基板上の窒化ケイ素の層を含む、パターン形成した基板を研磨の際の、活性酸化物損失への影響を示す。
パターン形成した酸化ケイ素基板上の窒化ケイ素の層を含むパターン形成した基板は、2つの異なる研磨用組成物、研磨用組成物3A及び3Bで研磨した。研磨用組成物3A(比較)は、約45nmの平均粒径を有し、粒子全体にわたってアルミニウムイオンが均一に分布する1重量%のコロイド状シリカ粒子を含んだ。研磨用組成物3B(本発明)は、アルミニウムイオンで表面処理されており、約50nmの平均粒径を有する1重量%のコロイド状シリカ粒子を含んだ。基板は、以下のパターン(a)100μm形状幅及び50%のパターン密度、(b)200μm形状幅及び50%のパターン密度、(c)400μm形状幅及び50%のパターン密度、(d)900μm形状幅及び50%のパターン密度、(e)1800μm形状幅及び50%のパターン密度、(f)2μm形状幅及び50%のパターン密度、(g)20μm形状幅及び50%のパターン密度、(h)50μm形状幅及び50%のパターン密度、(i)50μm形状幅及び90%のパターン密度、(j)50μm形状幅及び10%のパターン密度、(k)0.36μm形状幅及び38%のパターン密度、を含んだ。すべての基板は終点まで研磨されて、それから10秒過剰に研磨した。
研磨の後、残留する活性酸化物(溝の外側の酸化物)の厚みを測定して、結果を表3に記載した。
Figure 2018510230
表3に記載される結果から明らかなように、研磨用組成物3Bは、残留する活性酸化物で約2.6%以下の減少を示した。研磨用組成物3Aは、2μm形状機能幅と50%のパターン密度、ならびに0.36μm形状幅と38%のパターン密度以外は、残留する活性酸化物で著しく大きな減少を示した。
実施例4
本実施例は、アニオン性界面活性剤と、アルミニウムイオンで表面処理したコロイド状シリカ粒子とを含む、研磨用組成物で観察される、窒化ケイ素及び酸化ケイ素除去速度への影響を示す。
窒化ケイ素及び酸化ケイ素のブランケット層を含む分離基板は、28の異なる研磨用組成物、研磨用組成物4AA〜4BBで研磨した。研磨用組成物のそれぞれは、表4に示すような量のアルミニウムイオンで表面処理し、表4に示すような粒径を有するコロイド状シリカ粒子を含んだ。研磨用組成物4AB〜4AD、4AF〜4AJ、4AL〜4AP、4AQ〜4AV及び4AX〜4BBは、表4に示すような量のポリ(アクリル酸−コ−マレイン酸)コポリマーを更に含んだ。研磨の後、窒化ケイ素及び酸化シリコンの除去速度を測定した。結果及び窒化ケイ素/酸化ケイ素の選択性を、表4に記載する。
Figure 2018510230
表4に記載される結果から明らかなように、25nm及び50nm両方の粒径、及び表面処理したコロイド状シリカ粒子のすべてのレベルで、窒化ケイ素の除去速度は、ポリ(アクリル酸−コ−マレイン酸)コポリマーの増加した濃度で減少した。酸化ケイ素の除去速度は、ポリ(アクリル酸−コ−マレイン酸)コポリマーの増加した濃度の影響を特に受けなかった。
実施例5
本実施例は、アニオン性界面活性剤と、アルミニウムイオンで表面処理したコロイド状シリカ粒子とを含む、研磨用組成物で観察される、窒化ケイ素及び酸化ケイ素除去速度への影響を示す。
窒化ケイ素及び酸化ケイ素のブランケット層を含む分離基板は、9つの異なる研磨用組成物、研磨用組成物5A〜5Iで研磨した。研磨用組成物のそれぞれは、アルミニウムイオンで表面処理した、約25nmの平均粒径を有する1重量%のコロイド状シリカ粒子を含んだ。研磨用組成物5A(対照)は、アニオン性界面活性剤を含まなかった。研磨用組成物5Bは、10ppmのポリ(アクリル酸−コ−マレイン酸)コポリマーを更に含んだ。研磨用組成物5Cは、25ppmのDOWFAX(商標)C10Lアルキルジフェニルオキシドスルホネート界面活性剤を更に含んだ。研磨用組成物5Dは、25ppmのDOWFAX(商標)C6Lアルキルジフェニルオキシドスルホネート界面活性剤を更に含んだ。研磨用組成物5Eは、25ppmのポリスチレンスルホネート界面活性剤を更に含んだ。研磨用組成物5Fは、25ppmのドデシルベンゼンスルホネートを更に含んだ。研磨用組成物5G〜5Iは、カルボン酸基及びスルホン酸基の両方を含有するアニオン性界面活性剤を含む、WE001をそれぞれ100ppm、150ppm及び200ppm更に含んだ。研磨の後、窒化ケイ素及び酸化シリコンの除去速度を測定した。結果及び窒化ケイ素/酸化ケイ素の選択性を、表5に記載する。
Figure 2018510230
表5に記載される結果から明らかなように、本発明の研磨用組成物におけるアニオン性界面活性剤の存在は、調整可能な窒化ケイ素/酸化ケイ素の選択性、及び調整可能な窒化ケイ素の除去速度を可能にする。ポリ(アクリル酸−コ−マレイン酸)を含有する研磨用組成物5Bは、対照研磨用組成物5Aと比較して、同じSiN/SiO選択性を示したが、SiNの除去速度は約14%減少した。DOWFAX(商標)C6Lアルキルジフェニルオキシドスルホネート界面活性剤を含有する研磨用組成物5Dは、対照研磨用組成物5Aと比較して、SiN/SiO選択性はわずかに増加したが、SiNの除去速度は約29%減少した。DOWFAX(商標)C10Lアルキルジフェニルオキシドスルホネート界面活性剤を含有する研磨用組成物5Cは、対照研磨用組成物5Aと比較して、SiN/SiO選択性は低いことを示したが、SiNの除去速度は約40%減少した。ドデシルベンゼンスルホネート、100ppmのWE001及び150ppmのWE001をそれぞれ含有する研磨用組成物5F〜5Hは、対照研磨用組成物5Aと比較して、改善したSiN/SiO選択性を示した。200ppmのWE001を含有する研磨用組成物5Iは、対照研磨用組成物5Aと比較して、SiN/SiO選択性は約38%増加したが、SiNの除去速度は約19%減少した。
実施例6
本実施例は、アニオン性界面活性剤と、アルミニウムイオンで表面処理したコロイド状シリカ粒子とを含む、研磨用組成物で観察される、窒化ケイ素、酸化ケイ素及びポリシリコンの除去速度への影響を示す。
窒化ケイ素、酸化ケイ素及びポリシリコンのブランケット層を含む分離基板は、4つの異なる研磨用組成物、研磨用組成物6A〜6Dで研磨した。研磨用組成物のそれぞれは、アルミニウムイオンで表面処理した、約25nmの平均粒径を有する1重量%のコロイド状シリカ粒子を含んだ。研磨用組成物5A(対照)は、アニオン性界面活性剤を含まなかった。研磨用組成物5B(本発明)は、10ppmのポリ(アクリル酸−コ−マレイン酸)コポリマーを更に含んだ。研磨用組成物5C(比較)は、100ppmの没食子酸プロピルを更に含んだ。研磨用組成物5D(本発明)は、100ppmのWE001を更に含んだ。研磨の後、窒化ケイ素、酸化シリコン及びポリシリコンの除去速度を測定した。結果及び窒化ケイ素/ポリシリコンの選択性を、表6に記載する。
Figure 2018510230
表6に記載される結果から明らかなように、アニオン性界面活性剤を含有する研磨用組成物5B及び5Dはそれぞれ、3及び8の窒化ケイ素対ポリシリコン選択性を示し、一方で対照研磨用組成物5Aは、1の窒化ケイ素対ポリシリコン選択性を示した。研磨用組成物5B及び5Dはそれぞれ、対照研磨用組成物によって示される窒化ケイ素除去速度の約86%及び95%である、窒化ケイ素除去速度を示して、ならびに対照研磨用組成物によって示されるポリシリコン除去速度の約31%及び11%である、ポリシリコン除去速度を示した。
実施例7
本実施例は、業界標準研磨用組成物と比較して、パターン形成した基板を研磨するために使用するとき、本発明の研磨用組成物で達成可能な時間に応じて窒化ケイ素の厚さの減少を示す。
パターン形成した酸化ケイ素基板上の窒化ケイ素の層を含むパターン形成した基板は、2つの異なる研磨用組成物、研磨用組成物7A及び7Bで研磨した。研磨用組成物7A(比較)は、pH約10で水中に、約22重量%のフュームドシリカを含んだ。研磨用組成物7B(本発明)は、pH3.5でアルミニウムイオン及び50ppmのポリ(アクリル酸−コ−マレイン酸)コポリマーで表面処理した、1%のコロイド状シリカ粒子を含んだ。基板は、以下のパターン(a)50%のパターン密度及び20μm形状幅、(b)50%のパターン密度及び2μm形状幅、(c)90%のパターン密度及び50μm形状幅、(d)10%のパターン密度及び50μm形状幅を含んだ。窒化ケイ素の厚さは、30、60及び90秒の研磨後に測定されて、結果を表7〜表10に記載した。
Figure 2018510230
Figure 2018510230
Figure 2018510230
Figure 2018510230
表7〜表10に記載される結果から明らかなように、本発明の研磨用組成物7Bは、比較研磨用組成物7Aよりも、著しく速いシリコン窒化物層の除去速度の時間を示した。
実施例8
本実施例は、コロイド状シリカ粒子における表面水酸基(シラノール基)密度を測定する方法を提供する。
[1]15gのシリカ粒子を計量して、質量を測定した容器(X[g])に入れて、それから適切な量の水(100mL以下)内に分散させる。シリカ粒子が水などの媒体に分散する分散液の形態でシリカ粒子がある場合、シリカ粒子の量が15gになるように、分散液を計量して、容器内に入れる。
[2]次にpHを、0.1モル/Lの塩酸を加えることによって3.0〜3.5に調節して、この段階の質量(Y[g])を、液体(Y−X[g])の総質量を検出するために測定する。
[3][2]で検出した質量の1/10の量の液体(Y−X)/10[g]を計量して、別の容器内に入れる。現段階で液体中に含まれるシリカ粒子(A[g])の量は、1.5gである。
[4]30gの塩化ナトリウムは液体に加えて、総量が150gになるまで超純水を加える。0.1モル/Lの水酸化ナトリウム溶液を、pHを4.0に調整するために加えて、滴定試料を調製する。
[5]pHが9.0に達するまで、0.1モル/Lの水酸化ナトリウムは滴定試料に滴下で加えて、4.0から9.0へpHを変えるために必要な量の水酸化ナトリウム(B[モル])を検出する。
[6]シリカ粒子のシラノール基密度は、以下の式(1)で計算した。
ρ=B・N/A・SBET (1)
(式(1)で、N[数/モル]はアボガドロ数を表し、SBET[m/g]はシリカ粒子のBET比表面積を表す)。
本明細書に引用した、刊行物、特許出願及び特許を含むすべての文献は、各文献が個別にまた特に参照により組み込まれて、その全体が本明細書に記載されているように示された場合と同程度に、参照により本明細書に組み込まれる。
「a」、「an」、「the」及び「少なくとも1つの」ならびに類似の指示物の使用は、本発明に関連して(特に以下の特許請求の範囲に関連して)、本明細書で示される、または文脈により明らかに否定されない限り、単数及び複数の両方を対象とすると解釈される。本明細書で示される、または文脈により明らかに否定されない限り、1つ以上の品目のリストの前にある「少なくとも1つのA及びB」という用語の使用は、リストされた品目から選択される1つの品目(AまたはB)、またはリストされた品目の2つ以上の任意の組み合わせ(A及びB)を意味すると解釈される。「含む(comprising)」「有する(having)」「含む(including)」及び「含む(containing)」は、別途記載がない限り、オープンエンドの用語(すなわち、「含むが、それに限定されないこと」を意味する)であると解釈される。本明細書の値の範囲の列挙は、本開示で別段の指示がない限り、範囲内にある各別個の値を個々に指す簡単な方法として機能することを単に目的としており、各別個の値は、それが本開示に個々に記載されたように明細書に組み込まれる。本明細書に記載のすべての方法は、本明細書で別段の指示がなく、または文脈により明確に否定されない限り、任意の好適な順序で実施することができる。本明細書で開示される任意の及びすべての例、または例示的な語句(例えば「など」)の使用は、本発明をより明らかにすることを単に意図するにすぎず、特に主張がない限り、本発明の範囲の制限をもたらさない。明細書中の語句は、本発明の実施に対して必須として、特許請求の範囲に記載されていない要素を指すと解釈してはならない。
本発明の好ましい実施形態は、本開示に記載され、本発明を実施するために本発明者らに既知の最良の態様を含む。これらの好ましい実施形態の変形は、前述の説明を読んだ当業者には明らかになるだろう。本発明者らは、当業者がこのような変形を必要に応じて用いることを予期し、本発明者らは、本発明が具体的に本開示に記載されたものと異なって実行されることを意図する。したがって本発明は、準拠法により許容された添付の特許請求の範囲に記載の内容のすべての改変及び等価物を含む。更に上述した要素の、それらのすべての可能な変形の任意の組み合わせも、本明細書中に特に記載されない限りまたは文脈により明らかに矛盾しない限り、本発明に含まれる。

Claims (23)

  1. 化学機械研磨用組成物であって、
    (a)Mg、Ca、Al、B、Be及びこれらの組み合わせから選択される金属イオンで表面改質されたコロイド状シリカ粒子であって、前記コロイド状シリカ粒子が、粒子の表面積の1nm当たり約1.5の水酸基〜1nm当たり約8の水酸基の表面水酸基密度を有する、コロイド状シリカ粒子と、
    (b)アニオン性界面活性剤と、
    (c)緩衝剤と、
    (d)水と、を含み、
    前記研磨用組成物が約2〜約7のpHを有しており、
    前記研磨用組成物が、金属を酸化させる酸化剤を実質的に含まない、化学機械研磨用組成物。
  2. 前記コロイド状シリカ粒子が約0.1重量%〜約5重量%の量で存在する、請求項1に記載の研磨用組成物。
  3. 前記コロイド状シリカ粒子が約20nm〜約100nmの平均粒径を有する、請求項1に記載の研磨用組成物。
  4. 前記コロイド状シリカ粒子が前記粒子の表面積1nm当たり約0.1〜約0.2の金属イオンを含んでおり、前記金属イオンがアルミニウムイオンである、請求項1に記載の研磨用組成物。
  5. 前記アニオン性界面活性剤が、カルボン酸、スルホン酸、リン酸及びこれらの組み合わせから選択される官能基を含むモノマーのポリマーまたはコポリマーであり、前記アニオン性界面活性剤が約100,000ダルトン以下の平均分子量を有し、前記アニオン性界面活性剤が約5ppm〜約200ppmの量で存在する、請求項1に記載の研磨用組成物。
  6. 前記アニオン性界面活性剤がポリ(アクリル酸−コ−マレイン酸)コポリマーである、請求項5に記載の研磨用組成物。
  7. 前記アニオン性界面活性剤が、少なくとも1つのスルホン酸基を含むモノマー界面活性剤である、請求項1に記載の研磨用組成物。
  8. 前記研磨用組成物がノニオン性界面活性剤を更に含む、請求項1に記載の研磨用組成物。
  9. 前記緩衝剤が有機カルボン酸を含む、請求項1に記載の研磨用組成物。
  10. 前記研磨用組成物が、過酸化水素、硝酸第二鉄、ヨウ素酸カリウム、過酢酸及び過マンガン酸カリウムを実質的に含まない、請求項1に記載の研磨用組成物。
  11. 基板を化学的に機械的に研磨する方法であって、前記方法が、
    (i)研磨パッド及び化学機械研磨用組成物を基板に接触させること、前記化学機械研磨用組成物は、
    (a)Mg、Ca、Al、B、Be及びこれらの組み合わせから選択される金属イオンで表面改質されたコロイド状シリカ粒子であって、前記コロイド状シリカ粒子が、粒子の表面積の1nm当たり約1.5の水酸基〜1nm当たり約8の水酸基の表面水酸基密度を有する、コロイド状シリカ粒子と、
    (b)アニオン性界面活性剤と、
    (c)緩衝剤と、
    (d)水と、を含み、
    前記研磨用組成物は約2〜約7のpHを有しており、前記研磨用組成物が金属を酸化させる酸化剤実質的に含まない、
    (ii)前記基板に対して、前記研磨パッド及び前記化学機械研磨用組成物を移動すること、ならびに
    (iii)前記基板の少なくとも一部を摩損して前記基板を研磨すること、を含む、方法。
  12. 前記コロイド状シリカ粒子が約0.1重量%〜約5重量%の量で存在する、請求項11に記載の方法。
  13. 前記コロイド状シリカ粒子が約20nm〜約100nmの平均粒径を有する、請求項11に記載の方法。
  14. 前記コロイド状シリカ粒子が前記粒子の表面積1nm当たり約0.1〜約0.2の金属イオン原子を含んでおり、前記金属イオンがアルミニウムイオンである、請求項11に記載の方法。
  15. 前記アニオン性界面活性剤が、カルボン酸、スルホン酸、リン酸及びこれらの組み合わせから選択される官能基を含むモノマーのポリマーまたはコポリマーであり、前記アニオン性界面活性剤が約100,000ダルトン以下の平均分子量を有し、前記アニオン性界面活性剤が約5ppm〜約200ppmの量で存在する、請求項11に記載の方法。
  16. 前記アニオン性界面活性剤がポリ(アクリル酸−コ−マレイン酸)コポリマーである、請求項15に記載の方法。
  17. 前記アニオン性界面活性剤が、少なくとも1つのスルホン酸基を含むモノマー界面活性剤である、請求項15に記載の方法。
  18. 前記研磨用組成物組成物がノニオン性界面活性剤を更に含む、請求項11に記載の方法。
  19. 前記緩衝剤が有機カルボン酸を含む、請求項11に記載の方法。
  20. 前記研磨用組成物が、過酸化水素、硝酸第二鉄、ヨウ素酸カリウム、過酢酸及び過マンガン酸カリウムを実質的に含まない、請求項11に記載の方法。
  21. 前記基板が窒化ケイ素を含み、前記窒化ケイ素の少なくとも一部が前記基板を研磨するために摩滅される、請求項11に記載の方法。
  22. 前記基板が酸化ケイ素を更に含み、前記酸化ケイ素の少なくとも一部が前記基板を研磨するために摩滅される、請求項21に記載の方法。
  23. 前記基板がポリシリコンを更に含み、前記ポリシリコンの少なくとも一部が前記基板を研磨するために摩滅される、請求項21に記載の方法。
JP2017540578A 2015-02-03 2016-01-26 窒化ケイ素の除去のためのcmp組成物 Active JP6822966B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/612,736 US9803109B2 (en) 2015-02-03 2015-02-03 CMP composition for silicon nitride removal
US14/612,736 2015-02-03
PCT/US2016/014858 WO2016126458A1 (en) 2015-02-03 2016-01-26 Cmp composition for silicon nitride removal

Publications (3)

Publication Number Publication Date
JP2018510230A true JP2018510230A (ja) 2018-04-12
JP2018510230A5 JP2018510230A5 (ja) 2019-03-07
JP6822966B2 JP6822966B2 (ja) 2021-01-27

Family

ID=56552860

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017540578A Active JP6822966B2 (ja) 2015-02-03 2016-01-26 窒化ケイ素の除去のためのcmp組成物

Country Status (7)

Country Link
US (1) US9803109B2 (ja)
EP (1) EP3253843B1 (ja)
JP (1) JP6822966B2 (ja)
KR (1) KR102625476B1 (ja)
CN (1) CN107207910B (ja)
TW (1) TWI600615B (ja)
WO (1) WO2016126458A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102508676B1 (ko) 2015-01-19 2023-03-13 가부시키가이샤 후지미인코퍼레이티드 변성 콜로이달 실리카 및 그 제조 방법, 그리고 이것을 사용한 연마제
KR102450333B1 (ko) 2016-05-19 2022-10-04 주식회사 동진쎄미켐 화학-기계적 연마용 슬러리 조성물
WO2018012175A1 (ja) * 2016-07-15 2018-01-18 株式会社フジミインコーポレーテッド 研磨用組成物の製造方法および研磨方法
US10294399B2 (en) 2017-01-05 2019-05-21 Cabot Microelectronics Corporation Composition and method for polishing silicon carbide
SG11201907230RA (en) 2017-02-24 2019-09-27 Illumina Inc Calcium carbonate slurry
CN110997856B (zh) * 2017-08-09 2021-10-29 昭和电工材料株式会社 研磨液和研磨方法
JP2019062078A (ja) * 2017-09-26 2019-04-18 株式会社フジミインコーポレーテッド 研磨用組成物、研磨用組成物の製造方法、研磨方法および半導体基板の製造方法
US11186748B2 (en) * 2017-09-28 2021-11-30 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous anionic functional silica slurry and amine carboxylic acid compositions for selective nitride removal in polishing and methods of using them
US10428241B2 (en) 2017-10-05 2019-10-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions containing charged abrasive
US20190153262A1 (en) * 2017-11-20 2019-05-23 Cabot Microelectronics Corporation Composition and method for polishing memory hard disks exhibiting reduced surface scratching
KR20200038014A (ko) * 2018-10-02 2020-04-10 주식회사 케이씨텍 표면처리 조성물 및 그것을 이용한 표면처리 방법
US11198797B2 (en) * 2019-01-24 2021-12-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions having stabilized abrasive particles for polishing dielectric substrates
JP7041714B2 (ja) * 2019-06-26 2022-03-24 花王株式会社 酸化珪素膜用研磨液組成物
KR20210006641A (ko) * 2019-07-09 2021-01-19 오씨아이 주식회사 실리콘 질화막 식각 용액 및 이를 사용한 반도체 소자의 제조 방법
JP7440326B2 (ja) 2020-04-01 2024-02-28 山口精研工業株式会社 研磨剤組成物
CN114481286A (zh) * 2021-12-28 2022-05-13 广东省科学院化工研究所 一种用于电解抛光的固体颗粒物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007258606A (ja) * 2006-03-24 2007-10-04 Fujifilm Corp 化学的機械的研磨用研磨液
JP2008112970A (ja) * 2006-10-05 2008-05-15 Hitachi Chem Co Ltd 研磨用組成物
JP2009289885A (ja) * 2008-05-28 2009-12-10 Fujifilm Corp 研磨液及び研磨方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (ja) 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
JP4237439B2 (ja) * 1999-12-17 2009-03-11 キャボット マイクロエレクトロニクス コーポレイション 基体の研磨又は平坦化方法
WO2003042310A1 (en) 2001-11-15 2003-05-22 Samsung Electronics Co., Ltd. Additive composition, slurry composition including the same, and method of polishing an object using the slurry composition
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
KR100596865B1 (ko) 2004-01-05 2006-07-04 주식회사 하이닉스반도체 고평탄성 슬러리 조성물 및 이를 이용한 층간 절연막의cmp 방법
KR100582771B1 (ko) 2004-03-29 2006-05-22 한화석유화학 주식회사 반도체 얕은 트렌치 소자 분리 공정용 화학적 기계적 연마슬러리
US7678702B2 (en) 2005-08-31 2010-03-16 Air Products And Chemicals, Inc. CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
JP2007207908A (ja) 2006-01-31 2007-08-16 Fujifilm Corp バリア層用研磨液
US7902072B2 (en) * 2006-02-28 2011-03-08 Fujifilm Corporation Metal-polishing composition and chemical-mechanical polishing method
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
KR101032504B1 (ko) 2006-06-30 2011-05-04 주식회사 엘지화학 Cmp 슬러리
JP5646996B2 (ja) * 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
TW200946621A (en) * 2007-10-29 2009-11-16 Ekc Technology Inc Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
JP4521058B2 (ja) * 2008-03-24 2010-08-11 株式会社Adeka 表面改質コロイダルシリカおよびこれを含有するcmp用研磨組成物
JP5467804B2 (ja) * 2008-07-11 2014-04-09 富士フイルム株式会社 窒化ケイ素用研磨液及び研磨方法
US9548211B2 (en) 2008-12-04 2017-01-17 Cabot Microelectronics Corporation Method to selectively polish silicon carbide films
JP5827221B2 (ja) * 2009-06-22 2015-12-02 キャボット マイクロエレクトロニクス コーポレイション ポリシリコン除去速度の抑制のためのcmp組成物および方法
WO2011064734A1 (en) 2009-11-30 2011-06-03 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
EP2794790B1 (en) 2011-12-21 2018-02-21 Basf Se Chemical mechanical polishing composition comprising polyvinyl phosphonic acid and its derivatives
US8999193B2 (en) 2012-05-10 2015-04-07 Air Products And Chemicals, Inc. Chemical mechanical polishing composition having chemical additives and methods for using same
EP3333232B1 (en) * 2012-05-10 2020-03-04 Versum Materials US, LLC Chemical mechanical polishing composition having chemical additives and methods for using
US8980750B2 (en) * 2012-07-06 2015-03-17 Basf Se Chemical mechanical polishing (CMP) composition comprising a non-ionic surfactant and a carbonate salt

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007258606A (ja) * 2006-03-24 2007-10-04 Fujifilm Corp 化学的機械的研磨用研磨液
JP2008112970A (ja) * 2006-10-05 2008-05-15 Hitachi Chem Co Ltd 研磨用組成物
JP2009289885A (ja) * 2008-05-28 2009-12-10 Fujifilm Corp 研磨液及び研磨方法

Also Published As

Publication number Publication date
CN107207910B (zh) 2021-03-09
US20160222254A1 (en) 2016-08-04
EP3253843A1 (en) 2017-12-13
EP3253843A4 (en) 2018-07-04
WO2016126458A1 (en) 2016-08-11
TW201639785A (zh) 2016-11-16
EP3253843B1 (en) 2021-07-21
JP6822966B2 (ja) 2021-01-27
CN107207910A (zh) 2017-09-26
TWI600615B (zh) 2017-10-01
KR102625476B1 (ko) 2024-01-17
US9803109B2 (en) 2017-10-31
KR20170109642A (ko) 2017-09-29

Similar Documents

Publication Publication Date Title
JP6822966B2 (ja) 窒化ケイ素の除去のためのcmp組成物
EP2825609B1 (en) Cmp compositions selective for oxide and nitride with high removal rate and low defectivity
KR102239037B1 (ko) 높은 제거율 및 낮은 결함성으로 산화물 및 질화물에 대해 선택적인 cmp 조성물
EP3055375B1 (en) Wet process ceria compositions for polishing substrates, and methods related thereto
EP3230395B1 (en) Cmp compositons exhibiting reduced dishing in sti wafer polishing
EP3347428B1 (en) Selective nitride slurries with improved stability and improved polishing characteristics
JP6930976B2 (ja) 低k基板の研磨方法
US9165489B2 (en) CMP compositions selective for oxide over polysilicon and nitride with high removal rate and low defectivity
US10640679B2 (en) CMP compositions selective for oxide and nitride with improved dishing and pattern selectivity
JP2017526160A (ja) 高い除去速度と低欠陥性を有する、ポリシリコン及び窒化物を上回り酸化物に対して選択的なcmp組成物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20191127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200407

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200703

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210107

R150 Certificate of patent or registration of utility model

Ref document number: 6822966

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350