JP2017519356A - 電子ビームによる一方向の層上金属 - Google Patents

電子ビームによる一方向の層上金属 Download PDF

Info

Publication number
JP2017519356A
JP2017519356A JP2016566227A JP2016566227A JP2017519356A JP 2017519356 A JP2017519356 A JP 2017519356A JP 2016566227 A JP2016566227 A JP 2016566227A JP 2016566227 A JP2016566227 A JP 2016566227A JP 2017519356 A JP2017519356 A JP 2017519356A
Authority
JP
Japan
Prior art keywords
pitch
width
region
lines
unidirectional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016566227A
Other languages
English (en)
Other versions
JP6522662B2 (ja
Inventor
ダブリュー. ネルソン、ドナルド
ダブリュー. ネルソン、ドナルド
エイ. ボロドフスキー、ヤン
エイ. ボロドフスキー、ヤン
シー. フィリップス、マーク
シー. フィリップス、マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2017519356A publication Critical patent/JP2017519356A/ja
Application granted granted Critical
Publication of JP6522662B2 publication Critical patent/JP6522662B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/045Diaphragms
    • H01J2237/0451Diaphragms with fixed aperture
    • H01J2237/0453Diaphragms with fixed aperture multiple apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/303Electron or ion optical systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30405Details
    • H01J2237/30416Handling of data
    • H01J2237/30422Data compression
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30433System calibration
    • H01J2237/30438Registration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31762Computer and memory organisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

相補型電子ビームリソグラフィー(CEBL)に適したリソグラフィー装置およびCEBLを含む方法を説明する。ある例において、集積回路のメタライゼーション層のためのレイアウトは、第1の幅および第1のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第1の領域を備える。当該レイアウトはさらに、第2の幅および第2のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第2の領域を備え、第2の幅および第2のピッチはそれぞれ、第1の幅および第1のピッチとは異なる。当該レイアウトはさらに、第3の幅および第3のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第3の領域を備え、第3の幅は、第1の幅および第2の幅とは異なり、第3のピッチは第1のピッチおよび第2のピッチとは異なる。

Description

<関連出願に対する相互参照>
当該出願は、米国仮特許出願第62/012,220号(出願日:2014年6月13日)による恩恵を主張する。当該仮出願の内容は全て、参照により本願に組み込まれる。
本発明の実施形態は、リソグラフィー分野に属し、具体的には、相補型電子ビームリソグラフィー(CEBL)を含むリソグラフィーに属する。
過去数十年間にわたり、集積回路に含まれるフィーチャのスケーリングは、成長の一途を辿る半導体産業の成長を後押ししてきた。フィーチャを一層小型化するべくスケーリングを進めることで、半導体チップの限られた面積に設ける機能ユニットを高密度化させることが可能になる。
集積回路は一般的に、関連技術分野ではビアとして知られている導電性の微小電子構造を含む。ビアは、ビアの上方にある金属ラインをビアの下方にある金属ラインに電気的に接続するために用いられ得る。ビアは通常、リソグラフィープロセスで形成される。代表例を挙げると、フォトレジスト層を誘電体層の上方にスピンコーティングで形成し、パターニングされたマスクを介してフォトレジスト層をパターニングされた化学線で露光するとしてよい。この後、露光されたフォトレジスト層を現像して、フォトレジスト層に開口を形成するとしてよい。次に、フォトレジスト層に形成された開口をエッチングマスクとして用いて、誘電体層にビア用の開口をエッチングで形成するとしてよい。この開口をビア開口と呼ぶ。最後に、ビア開口を1あるいは複数の金属または他の導電性材料で充填して、ビアを形成するとしてよい。
これまで、ビアのサイズおよび間隔は減少の一途をたどっており、ビアのサイズおよび間隔は今後、少なくとも一部の種類の集積回路(例えば、高度なマイクロプロセッサ、チップセットコンポーネント、グラフィクスチップ等)については、さらに減少の一途を辿ることが期待されている。ビアのサイズの評価基準の一つとして、ビア開口のクリティカルディメンションが挙げられる。ビアの間隔の評価基準の一つとして、ビアピッチが挙げられる。ビアピッチは、最も近接している隣接するビア同士の中心間距離を意味する。リソグラフィープロセスを利用して非常に細かいピッチで非常に小さいビアをパターニングする場合、課題がいくつか生じる。
このような課題の一つとして、ビア間のオーバーレイおよび上方にある金属ライン、ならびに、ビア間のオーバーレイおよび下方にある金属ラインは概して、ビアピッチの4分の1のオーダという厳しい許容誤差で制御する必要がある点が挙げられる。ビアピッチのスケーリングが進み小型化されていく中、ビアピッチと共にリソグラフィー装置のスケーリングが改善可能な速度よりも早い速度で、ビアピッチと共にオーバーレイの許容誤差のスケーリングが進む傾向にある。
このような課題の一つとしてさらに、ビア開口のクリティカルディメンションは概して、リソグラフィースキャナの解像度機能よりも早い速度でスケーリングする傾向にあることが挙げられる。ビア開口のクリティカルディメンションを縮小するための縮小技術がある。しかし、縮小量は、ビアピッチ最小値に応じて、そして同様に、縮小プロセスが十分に光近接効果補正(OPC)の影響を排除できることによって限界がある傾向にあり、ライン幅変動(LWR)および/またはクリティカルディメンション均一性(CDU)を大幅に犠牲にしない傾向がある。
このような課題の一つとしてさらに、クリティカルディメンションバジェットの全体的な割合を一定に維持するためには、ビア開口のクリティカルディメンションが小さくなるにつれて、フォトレジストのLWR特性および/またはCDU特性を概して改善する必要があることが挙げられる。しかし、現時点において、大半のフォトレジストのLWR特性および/またはCDU特性は、ビア開口のクリティカルディメンションが減少している速度と同様の速度では改善されていない。このような課題の一つとしてさらに、非常に小さいビアピッチは概して、極端紫外線(EUV)リソグラフィースキャナの解像度機能よりもさらに小さい傾向にあることが挙げられる。この結果、一般的には2つ、3つまたはそれ以上の異なる数のリソグラフィーマスクを利用する必要があるとしてよい。このため、製造コストが増加する傾向にある。ピッチが減少し続ければ、ある時点において、複数のマスクを利用したとしても、従来のスキャナを利用したのではこのように非常に小さいピッチを実現するようビア開口を印刷することは不可能になる可能性があるとしてよい。
同じく、金属ビアに関連する金属ライン構造においてカット(つまり、分断)を製造する処理も、同様のスケーリングの問題に直面する。
このため、リソグラフィー処理の技術および可能性に関しては改善が必要である。
堆積後且つパターニング前の、層間誘電体(ILD)層上に形成されているハードマスク材料層の開始構造を示す断面図である。
ピッチ半減処理によるハードマスク層のパターニング後の図1Aの開始構造を示す断面図である。
6の倍数によるピッチ分割を含むスペーサベース6倍パターニング(SBSP)処理方式における断面図である。
9の倍数によるピッチ分割を含むスペーサベース9倍パターニング(SBNP)処理方式における断面図である。
電子ビームリソグラフィー装置の電子ビームカラムを示す概略断面図である。
面内グリッド歪み(IPGD)をモデル化する機能に制限がある光スキャナオーバーレイを示す概略図である。
本発明の一実施形態に応じた、位置合わせオンザフライ方式を用いる歪みグリッド情報を示す概略図である。
本発明の一実施形態に応じた、50%の密度で300mmのウェハに一般的/従来のレイアウトをパターニングするべく転送すべき情報を、5%の密度でビアパターンをパターニングする場合と比較して、示す計算例を示す図である。
本発明の一実施形態に応じた、ビア、および、カット開始/終了のための設計ルール位置が簡略化されたグリッド状レイアウト方式を示す図である。
本発明の一実施形態に応じた、カットの配置として許容可能な例を示す。
本発明の一実施形態に応じた、ラインAおよびラインBの間のビアレイアウトを示す図である。
本発明の一実施形態に応じた、ラインA−Eの間のカットレイアウトを示す図である。
本発明の一実施形態に応じた、複数のダイ位置が存在するウェハを示す図であり、上方にある点線で示すボックスは一のカラムのウェハフィールドを表している図である。
本発明の一実施形態に応じた、複数のダイ位置が存在するウェハを示す図であり、上方には一のカラムの実際のターゲットウェハフィールドがあり、オンザフライ修正のための周辺エリアが増加している様子を示す図である。
本発明の一実施形態に応じた、元のターゲットエリア(内側が薄い色で太い点線)に対して、印刷すべきエリア(内側が濃い色で細い点線)について数度ウェハ回転させた効果を示す図である。
本発明の一実施形態に応じた、前のメタライゼーション層内の縦方向の金属ラインの上方に重なっているように表されている横方向の金属ラインを示す平面図である。
本発明の一実施形態に応じた、前のメタライゼーション層内の縦方向の金属ラインの上方に重なっているように表されている横方向の金属ラインを示す平面図であり、幅/ピッチが異なる金属ラインが縦方向に重なりあっている様子を示す図である。
前のメタライゼーション層内の縦方向の金属ラインの上方に重なっているように表されている従来の金属ラインを示す平面図である。
カットすべきまたはビアをターゲット位置に配置すべきライン(右)と相対的に、BAAのアパーチャ(左)を示す図であり、ラインはアパーチャの下方でスキャンされる様子を示す図である。
カットすべき、または、ターゲット位置にビアを配置すべき2つのライン(右)と相対的に、スタッガードに配置されていないBAAの2つのアパーチャ(左)を示す図であり、ラインはアパーチャの下方でスキャンされる様子を示す図である。
本発明の一実施形態に応じた、カットすべきまたはビアをターゲット位置に配置すべき複数のライン(右)と相対的に、BAAの2列に並んだスタッガードに配置された複数のアパーチャ(左)を示す図であり、ラインはアパーチャの下方でスキャンされる様子を示しており、スキャン方向は矢印で示している図である。
本発明の一実施形態に応じた、BAAの2列のスタッガードに並べられたアパーチャ(左)を、当該スタッガードBAAを用いてパターニングされたカット(横方向のラインの空白部分)またはビア(ハッチングされたボックス)が形成されている複数のライン(右)と相対的に示す図であり、スキャン方向は矢印で示している図である。
本発明の一実施形態に応じた、図21Aに図示したタイプの金属ラインレイアウトに基づく集積回路内の複数のメタライゼーション層で構成される積層体を示す断面図である。
本発明の一実施形態に応じた、3つの異なるスタッガードアレイを含むレイアウトのBAAのアパーチャを示す図である。
本発明の一実施形態に応じた、3つの異なるスタッガードアレイを含むレイアウトのBAAのアパーチャを示す図であり、電子ビームはこれらのアレイのうち1つのみをカバーする様子を示す図である。
本発明の一実施形態に応じた、ビームをずらすための偏向部を備える電子ビームリソグラフィー装置の電子ビームカラムを示す概略断面図である。
本発明の一実施形態に応じた、ピッチ#1、カット#1、ピッチ#2、カット#2およびピッチ#N、カット#Nを持つBAA2450の3ピッチアレイ(または、最大でnピッチアレイ)を示す図である。
本発明の一実施形態に応じた、電子ビームカラムにズームインスリットを含める様子を示す図である。
本発明の一実施形態に応じた、3つの異なるピッチのスタッガードアレイを含むレイアウトのBAAのアパーチャを示す図であり、電子ビームが全てのアレイをカバーする様子を示す図である。
本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ(左)を、当該BAAを用いてパターニングされたカット(横方向のラインの空白部分)またはビア(ハッチングされたボックス)が形成されている複数の大きいライン(右)と相対的に示す図であり、スキャン方向は矢印で示されている図である。
本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ(左)を、当該BAAを用いてパターニングされたカット(横方向のラインの空白部分)またはビア(ハッチングされたボックス)が形成されている複数の中程度のサイズのライン(右)と相対的に示す図であり、スキャン方向は矢印で示されている図である。
本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ(左)を、当該BAAを用いてパターニングされたカット(横方向のラインの空白部分)またはビア(ハッチングされたボックス)が形成されている複数の小さいライン(右)と相対的に示す図であり、スキャン方向は矢印で示されている図である。
本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ(左)を、当該BAAを用いてパターニングされたカット(横方向のラインの空白部分)またはビア(ハッチングされたボックス)が形成されている複数のさまざまなサイズのライン(右)と相対的に示す図であり、スキャン方向は矢印で示されている図である。
本発明の一実施形態に応じた、図29Aに図示したタイプの金属ラインレイアウトに基づく集積回路内の複数のメタライゼーション層で構成される積層体を示す断面図である。
本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ(左)を、当該BAAを用いてパターニングされたカット(横方向のラインの空白部分)またはビア(ハッチングされたボックス)が形成されている複数のさまざまなサイズのライン(右)と相対的に示す図であり、スキャン方向は矢印で示されている図である。
本発明の一実施形態に応じた、ピッチが異なるラインセットを3つ示す図であり、各ラインには対応するアパーチャが上方に設けられている様子を示す図である。
本発明の一実施形態に応じた、非常に大きいラインを1つ含む複数の異なるサイズのライン(右)、および、一の共通グリッド上のビームアパーチャアレイ縦方向ピッチレイアウト(3つのアレイ)を示す図である。
本発明の一実施形態に応じた、複数の異なるサイズのライン(右)およびユニバーサルカッターピッチアレイ(左)を示す図である。
本発明の一実施形態に応じた、2つのライン(右)に対して言及されるユニバーサルカッター(左)のための2*EPEルールを示す図である。
本発明の一実施形態に応じた、前の層状メタライゼーション構造を示す平面図および対応する断面図である。
本発明の一実施形態に応じた、フィンを有する非プレーナ型半導体デバイスを示す断面図である。
本発明の一実施形態に応じた、図36Aの半導体デバイスのa‐a´軸に沿った平面図を示す図である。
本発明の一実施例に応じたコンピューティングデバイスを示す図である。
本発明の一実施形態に応じた、コンピュータシステムの一例を示すブロック図である。
本発明の1または複数の実施形態を実装するインターポーザを示す図である。
本発明の一実施形態に応じて構築されたコンピューティングデバイスを示す図である。
相補型電子ビームリソグラフィー(CEBL)に適したリソグラフィー装置およびCEBLを含む方法を説明する。以下に記載する説明では、本発明の実施形態を徹底的に理解できるよう、具体的なツール、集積化および材料の管理方式等、数多く具体的且つ詳細な内容を記載する。本発明の実施形態はこのような具体的且つ詳細な内容を採用することなく実施し得ることは当業者には明らかである。また、シングルダマシン処理またはデュアルダマシン処理等の公知の特徴については、本発明の実施形態を不要にあいまいにすることを避けるべく、詳細な説明を省略する。さらに、図中に図示したさまざまな実施形態は例として図示したものであり、必ずしも実寸に即したものとは限らないと理解されたい。さまざまな動作は、複数の別個の動作として順に、本発明を最も理解し易い方法で説明される場合があるが、説明が順番になされているからといって、これらの処理が必ずしも所定の順序でなければならないと示唆するものとして解釈されるべきではない。特に、これらの動作は説明順に実施する必要はない。
本明細書で説明する1または複数の実施形態は、相補型電子ビームリソグラフィー(CEBL)を含むか、または、CEBLに適したリソグラフィーの方法およびツールに関し、このような方法およびツールを実装する際に半導体プロセスに関して考慮する内容を含む。
相補型リソグラフィーは、大量生産(HVM)方式でロジックデバイスに重要な層を20nmのハーフピッチ以下でパターニングするコストを低減するべく、2種類のリソグラフィー技術の強みを基に互いに補完し合うことで実現される技術である。相補型リソグラフィーを実施する方法のうちコスト効果が最も高い方法は、光リソグラフィーを電子ビームリソグラフィー(EBL)と組み合わせることである。集積回路(IC)設計内容をウェハに転写するプロセスは、一方向ライン(厳密に一方向であるか、または、大部分が一方向であるかのいずれか)を予め定められたピッチで印刷するための光リソグラフィー、ライン密度を高めるためのピッチ分割技術、および、これらのラインを「カット」するEBLといった処理を必要とする。EBLは、他の重要な層、特に、コンタクトおよびビアホールをパターニングするためにも用いられる。光リソグラフィーは、単独で他の層をパターニングするために用いられ得る。EBLは、光リソグラフィーを補完するために用いられる場合、CEBLまたは相補型EBLと呼ばれる。CEBLは、ラインおよびホールをカットするために用いられる技術である。CEBLは、全ての層のパターニングを行うために用いられないので、関連産業における先進技術ノード(より小型化されたノード)(例えば、10nmまたはより小型の、例えば、7nmまたは5nm技術のノード)におけるパターニング要件を満たす上で補完的ではあるが重要な役割を持つ。CEBLはさらに、現在の光リソグラフィーの技術、ツールおよびインフラストラクチャを利用したその延長線上にある。
上述したように、EBLを用いてラインをカットする前に、ピッチ分割技術を用いてライン密度を高めることができる。第1の例を挙げると、ピッチ半減を実現することで、製造後の格子構造のライン密度を倍増させることができる。図1Aは、堆積後且つパターニング前の、層間誘電体(ILD)層上に形成されているハードマスク材料層の開始構造を示す断面図である。図1Bは、ピッチ半減処理によるハードマスク層のパターニング後の図1Aの開始構造を示す断面図である。
図1Aを参照すると、開始構造100は、ハードマスク材料層104が層間誘電体(ILD)層102上に形成されている。パターニングされたマスク106をハードマスク材料層104の上に配置する。パターニングされたマスク106には、そのフィーチャ(ライン)の側壁に沿ってスペーサ108が形成されている。スペーサ108は、ハードマスク材料層104上に設けられている。
図1Bを参照すると、ハードマスク材料層104がピッチ半減処理でパターニングされる。具体的には、パターニングされたマスク106を最初に除去する。この結果得られるスペーサ108のパターンでは、密度が倍増しており、つまり、マスク106のフィーチャまたはピッチが半減している。スペーサ108のパターンを、例えば、エッチングプロセスによって、ハードマスク材料層104に転写して、図1Bに示すようにパターニングされたハードマスク110を形成する。このような一実施形態において、パターニングされたハードマスク110は一方向ラインを有する格子パターンで形成される。パターニングされたハードマスク110の格子パターンは、高密度ピッチの格子構造であってよい。例えば、高密度ピッチは、従来のリソグラフィー技術をそのまま利用しただけでは実現し得ないとしてよい。さらに、図示されていないが、もう一度スペーサマスクパターニングを行うことで元々のピッチが4分の1になるとしてもよい。したがって、図1Bのパターニングされたハードマスク110の格子状パターンの複数のハードマスクラインは、一定のピッチで離間しており、互いに相対的に一定の幅を持つとしてよい。こうして実現される寸法は、利用したリソグラフィー技術のクリティカルディメンションよりはるかに小さいとしてよい。
したがって、CEBL集積化方式の第1の段階として、全面に形成されている膜を、例えば、スペーサベース2倍パターニング(SBDP)あるいはピッチ半減化、または、スペーサベース4倍パターニング(SBQP)あるいはピッチ四分割を含むリソグラフィープロセスおよびエッチングプロセスを用いてパターニングするとしてよい。他のピッチ分割方法も実施され得ると考えられたい。
例えば、図2は、6の倍数によるピッチ分割を含むスペーサベース6倍パターニング(SBSP)処理方式における断面図である。図2を参照すると、動作(a)において、リソグラフィー処理、スリミング処理およびエッチング処理の後の犠牲パターンXが図示されている。動作(b)において、堆積およびエッチングの後のスペーサAおよびスペーサBを示す。動作(c)において、動作(b)のパターンからスペーサAを除去した後の様子を示す。動作(d)において、動作(c)のパターンにスペーサCを堆積させた後の様子を示す。動作(e)において、動作(d)のパターンからスペーサCをエッチングした後の様子を示す。動作(f)において、犠牲パターンXを除去し、スペーサBを除去した後に6/1ピッチパターンが得られる。
別の例を挙げると、図3では、9の倍数によるピッチ分割を含むスペーサベース9倍パターニング(SBNP)処理方式における断面図を示す。図3を参照すると、動作(a)において、リソグラフィー処理、スリミング処理およびエッチング処理の後の犠牲パターンXが図示されている。動作(b)において、堆積およびエッチングの後のスペーサAおよびスペーサBを示す。動作(c)において、動作(b)のパターンからスペーサAを除去した後の様子を示す。動作(d)において、動作(c)のパターンにスペーサCおよびスペーサDを堆積させエッチングした後の様子を示す。動作(e)において、スペーサCを除去した後に9/1ピッチパターンが得られる。
いずれの場合も、一実施形態において、本明細書で説明する相補型リソグラフィーは、193nm浸漬リソグラフィー(193i)等の従来または現在の技術水準のリソグラフィーを用いてグリッド状のレイアウトを最初に製造することを含む。グリッド状レイアウトにおけるラインの密度をnの倍数で高めるためにピッチ分割を実施するとしてよい。193iリソグラフィーと、nの倍数でのピッチ分割との組み合わせでグリッド状レイアウトを形成することは、「193i+P/nピッチ分割」と表記し得る。ピッチ分割後のグリッド状レイアウトのパターニングはこの後、電子ビーム直接描画(EBDW)「カット」を用いてパターニングされるとしてよい。これについては、より詳細に後述する。このような一実施形態では、193nm浸漬スケーリングは、コスト効果の高いピッチ分割と組み合わせることで、何世代にもわたって拡張され得る。相補型EBLを用いて、格子を分断して、ビアをパターニングする。
より具体的には、本明細書で説明する実施形態は、集積回路の製造時におけるフィーチャのパターニングに関する。一実施形態において、CEBLを用いてビアを形成するための開口をパターニングする。ビアは、ビアの上方にある金属ラインをビアの下方にある金属ラインに電気的に接続するために用いられる金属構造である。別の実施形態では、CEBLを用いて、金属ラインに沿って非導電性の間隙部または断絶部を形成する。従来、このような断絶部は、金属ラインの一部を除去することまたはカットして除去するプロセスを含むので、「カット」と呼ばれている。しかし、ダマシン方式では、このような断絶部は「プラグ」と呼ばれるとしてよく、製造方法のどの段階であっても実際には金属ではない金属ラインの軌跡に沿った領域であるが、むしろ金属を形成することができない保護領域である。しかし、いずれの場合でも、「カット」または「プラグ」という用語は同義語として用いられるとしてよい。ビア開口および金属ラインのカットまたはプラグの形成は一般的に、集積回路用のバックエンド(BEOL)処理と呼ばれる。別の実施形態では、CEBLをフロントエンド(FEOL)処理に用いる。例えば、アクティブ領域の寸法(フィンの寸法等)および/または対応するゲート構造のスケーリングは、本明細書で説明するように、CEBL技術を用いて実行し得る。
上述したように、電子ビーム(ebeam)リソグラフィーは、集積回路製造についてフィーチャの所望のスケーリングを実現することを目的として、標準的なリソグラフィー技術を補完するべく実施され得る。電子ビームリソグラフィーを実施するべく電子ビームリソグラフィー用のツールを利用されるとしてよい。実施形態例によると、図4は、電子ビームリソグラフィー装置の電子ビームカラムを示す概略断面図である。
図4を参照すると、電子ビームカラム400は、電子ビーム404を提供する電子源402を有する。電子ビーム404は、限定用のアパーチャ406を通過した後、高アスペクト比の照明光学系408を通過する。出射されるビーム410はこの後、スリット412を通過して、スリミングレンズ414によって制御されるとしてよい。スリミングレンズ414は例えば、磁気レンズであってよい。最終的に、ビーム404は、成形用のアパーチャ416(1次元(1D)の成形アパーチャであってよい)を通過した後、ブランカアパーチャアレイ(BAA)418を通過する。BAA418は、複数の物理的なアパーチャが形成されている。例えば、薄いシリコンスライスに開口が形成されている。BAA418の一部のみが所与のタイミングにおいて電子ビームに露光される場合もあるとしてよい。これに代えて、または、これに加えて、BAA418を通過する電子ビーム404の一部分420のみが、最終アパーチャ422(例えば、ビームの一部分421が遮蔽されている様子が図示されている)、および、おそらく、ステージフィードバック偏向部424を通過可能である。
図4を再度参照すると、結果として得られる電子ビーム426は最終的に、スポット428として、ウェハ430の表面に当たる。ウェハ430は、例えば、IC製造で利用されるシリコンウェハである。具体的には、結果として得られる電子ビームは、ウェハ上のフォトレジスト層に衝突するとしてよいが、実施形態はこれに限定されない。ステージスキャン432は、図4に示す矢印434の方向に沿って、電子ビーム426と相対的にウェハ430を移動させる。電子ビームツールは全体として、図4に図示したタイプのカラム400を多数有し得ると考えられたい。また、以下に記載する一部の実施形態で説明するように、電子ビームツールは、ベースコンピュータが対応付けられているとしてよく、各カラムはさらに対応するカラムコンピュータを持つとしてよい。
現在の技術水準に応じた電子ビームリソグラフィーの問題点の1つとして、高度集積回路の製造に関して大量生産(HVM)環境への適応が容易でない点が挙げられる。今日の電子ビームツールおよび対応する方法は、HVM環境でのウェハ処理のスループット要件を鑑みると、速度が低過ぎることが分かっている。本明細書で説明している実施形態は、HVM環境においてEBLを利用できるようにすることに関する。具体的には、本明細書で説明する多くの実施形態によれば、EBLツールによるスループットを改善することができるので、HVM環境においてEBLを利用することができるようになる。
以下では、現在の性能よりもEBLを改善することが可能な実施形態の7つの異なる態様を説明する。実施形態の7つの別個の態様として分類されているが、以下に説明する実施形態はHVM環境においてEBLのスループットを改善するべく、別個に利用してもよいし、または、任意の適切な組み合わせで用いられるとしてもよいと考えられたい。より詳細に以下で説明するが、第1の態様では、電子ビームツールで電子ビームパターニングの対象となるウェハについての位置合わせの問題に対処する。第2の態様では、電子ビームツールを簡略化するためのデータ圧縮またはデータ削減について説明する。第3の態様では、集積回路レイアウトについて均一な金属またはその他の格子パターン密度の領域の実装について説明する。第4の態様では、電子ビームツールのためのスタッガードブランカアパーチャアレイ(BAA)について説明する。第5の態様では、電子ビームツール用の3ビームアパーチャアレイについて説明する。第6の態様では、電子ビームツール用の非ユニバーサルカッターについて説明する。第7の態様では、電子ビームツール用のユニバーサルカッターについて説明する。
全ての態様について、一実施形態では、以下でブランカアパーチャアレイ(BAA)の開口またはアパーチャについて言及する際には、BAAの開口またはアパーチャの全てまたは一部について、ウェハ/ダイがウェハ移動方向またはウェハスキャン方向に沿って下方を移動するにつれて、開状態または「閉状態」に(例えば、ビーム偏向によって)切り替えるとしてよい。一実施形態において、BAAは、各開口が電子ビームをサンプルまで通過させるか、または、ビームを偏向して、例えば、ファラデーカップまたはブランキングアパーチャに向けるかに関して、独立して制御することができる。このようなBAAを含む電子ビームカラムまたは装置は、ビームカバレッジ全体を偏向して、BAAの一部分のみに照射するように構築されているとしてよく、そしてBAAに設けられているそれぞれの開口は電気的に、電子ビームを通過(オン)または遮断(オフ)するように構成されている。例えば、偏向されない電子はウェハに到達し、レジスト層を露光するが、偏向された電子はファラデーカップまたはブランキングアパーチャで捕獲される。「開口」または「開口高さ」という用語は、受け取り側のウェハに照射されるスポットサイズを意味し、BAA内に物理的に設けられている開口を意味するものではないと考えられたい。これは、物理的に設けられている開口は、最終的にBAAから生成されるスポットサイズ(例えば、ナノメートル単位)よりも大幅に大きく(例えば、ミクロン単位)ためである。このため、本明細書においてBAAのピッチまたはBAAにおける一列の開口のピッチが金属ラインのピッチに「対応する」と説明する場合、この説明は実際には、BAAから生成され照射されるスポットのピッチと、カットが行われているラインのピッチとの関係を意味している。以下に一例として挙げているが、BAA2110から生成されるスポットのピッチは、ライン2100のピッチと同一である(両方の列のBAA開口をまとめて考慮した場合)。一方、BAA2110のスタッガードアレイの一列のみから生成されたスポットのピッチは、ライン2100のピッチの2倍である。
全ての態様について、一部の実施形態において、上述したような電子ビームカラムはさらに、図4に関連して説明した特徴に加えて他の特徴も有し得るとも考えられたい。例えば、一実施形態において、サンプルステージは、互いに直交するように印刷されている交互に設けられている複数のメタライゼーション層を収容するように90度回転させることができる(例えば、Xスキャン方向とYスキャン方向との間で回転させる)。別の実施形態では、電子ビームツールは、ウェハをステージに搭載する前に、ウェハを90度回転させることが可能である。これらに加えて他の実施形態も図24Aから図24Cに関連付けて後述する。
本発明の実施形態の第1の態様において、電子ビームツールで電子ビームパターニングの対象となるウェハについての位置合わせの問題に対処する。
以下で説明する方法は、撮像ツール(例えば、光スキャナ)で層をパターニングする場合に、層同士を物理的に重ね合わせることで過剰に発生するエッジ配置エラー(EPE)を解決するために実施されるとしてよい。一実施形態において、以下で説明する方法は、ウェハ座標系マーカー(つまり、位置合わせマーク)の事前選択サンプリングを利用して、ウェハ処理に起因して発生する処理後のウェハにおける面内グリッド歪みパラメータを推定する撮像ツールに適用可能である。収集した位置合わせ情報(例えば、サンプリングされたウェハ面内グリッド歪み)は通常、予め定められた次数の多項式に適合する。そして、この適合は通常、歪みが発生したグリッドを表現するものとして用いられ、さまざまなスキャナ印刷パラメータを調整し、下方層と印刷層との重ね合わせを可能なうちで最良なものとする。
これに代えて、一実施形態では、パターニングに電子ビームを利用することで、ダイ毎だけではなく、下方層のフィーチャを含むパターンに対する任意の時点での書き込みにおいて位置合わせ情報を収集することが可能となる(「オンザフライ位置合わせ」)。例えば、電子検出部は電子ビームカラムの底部に配置されており、位置合わせマークまたは他の下方のパターニングされたフィーチャから後方散乱された電子を収集する。単純な線形モデルによれば、ダイの露光中にカラムの下方でステージがスキャンしている間、電子ビームカラムが書き込むと(そして、検出部が検出すると)、ダイ毎に数百回にわたってこのような情報の収集が可能になる。このような一実施形態によると、多項式に適合させる必要はなく、より高次の複雑な補正パラメータを推定する必要もない。むしろ、単純な線形補正のみが利用され得る。
ある実施形態によると、実際には、ダイのアクティブ領域内およびスクライブラインにおける前の層にパターニングされている位置合わせマークに対して、電子ビームの複数の(数百の)時間位置を記録することが可能であり、実際に行われる。このような記録動作は、COO(所有コスト)のツールスループットを低減させることなく、露光される層パターンのパターニング特性を特徴づけることを目的として通常存在するセル内のドロップを用いて実行されるとしてよい。
オンザフライ位置合わせが実施されない場合には代わりに、上述したようにより高次の多項式を利用する。しかし、より高次の多項式に基づく位置合わせは、比較的疎な位置合わせ情報(例えば、パターニングすべきダイ位置の10−15%のみを用いて、ウェハ上の面内グリッド歪みを収集する)を適合させるために用いられ、モデル化されない(剰余)適合エラーは、最大合計重ね合わせ予想エラーの約50%を占める。はるかに密な位置合わせ情報を収集して、さらに高次の多項式を適合およびパターニング修正のために利用することで、重ね合わせがある程度改善され得るが、これを実現するとスループットおよび所有コストに大幅な損失が発生してしまう。
前後関係を説明すると、ウェハ処理に起因する面内グリッド歪みは複数の原因に起因して発生する。これらに限定されないが、パターンの下方にある金属/その他の層が印刷されることによる後方散乱/フィールドずれエラー、パターン書き込み時の熱の影響によるウェハの反り/局所的に増加するウェハの膨張、および、EPEに大きく寄与するその他の追加の影響が挙げられる。補正が行われなければ、局所的に非常に大きいパターニングずれを発生させつつウェハをパターニングする可能性が非常に高くなる。
図5は、面内グリッド歪み(IPGD)をモデル化する機能に制限がある光スキャナオーバーレイを示す概略図である。図5の左側部分502を参照すると、ウェハ506上のダイグリッド504は、ウェハ処理によって歪みが発生している。ベクトルによれば、当初の位置決め(例えば、最初の層の印刷時)に対して、各ダイの隅がずれていることがわかる。図5の右側部分510を参照すると、従来のステッパが、点512で表しているように、この層に関して比較的疎な歪みグリッド情報を収集している。したがって、より高次の多項式を利用することで、比較的疎な位置合わせ情報を適合させることができる。位置の数は、サンプリングされた位置におけるグリッド座標情報から取得されたグリッド表現にモデルを適合させた後の剰余を「許容可能」なレベルにするべく最適化される。この情報を収集するためにオーバーヘッド時間が必要である。
図5に示すように収集される比較的疎な歪みグリッド情報とは対照的に、図6は、本発明の一実施形態に応じた、位置合わせオンザフライ方式を用いる歪みグリッド情報を示す概略図である。図6を参照すると、電子ビームがダイ毎に書き込みを行うと、カラムの底部にある検出部が下方層の位置座標に関する情報を収集する。書き込み位置に対する調整が必要な場合は、オーバーヘッド時間の増加またはスループットの低下を発生させることなく、または、最小限に抑えつつ、ウェハのどの箇所においてもリアルタイムでのステージ位置制御に基づいて行うことができる。具体的には、図6は、図5に図示したものと同じプロット602を示す。一例としてダイ領域604を拡大しており、ダイ領域604内のスキャン方向606が示されている。
本発明の実施形態の第2の態様では、電子ビームツールを簡略化するためのデータ圧縮またはデータ削減について説明する。
本明細書で説明する方法には、データの大幅な圧縮を可能とするべくデータを制限させること、データパスを削減すること、および、最終的にはるかに単純な電子ビーム書き込みツールを実現することが含まれる。より具体的には、説明している実施形態によれば、電子ビームツールの電子ビームカラムに渡さなければならないデータ量を大幅に削減することが可能になる。物理的なハードウェアの電気帯域幅の制限内に収めつつ、カラムフィールドに書き込みを行いフィールドエッジ配置エラーについてカラムフィールドを調整するための十分な量のデータを実現するための実用的な方法が提案される。このような実施形態を実装しない場合、必要な帯域幅は現在の電子機器が実現可能なレベルの約100倍になる。ある実施形態によると、本明細書で説明するデータ削減またはデータ圧縮の方法は、EBLツールのスループット性能を大幅に改善するために実装され得る。EBLは、スループット性能を改善することによって、HVM環境への適用がより容易になるとしてよく、例えば、集積回路製造環境に適用し易くなる。
図7は、本発明の一実施形態に応じた、50%の密度で300mmのウェハに一般的/従来のレイアウトをパターニングするべく転送すべき情報を、5%の密度でビアパターンをパターニングする場合と比較して、示す計算例を示す図である。図7を参照すると、転送すべき情報は式(A)である。情報の転送は、エッジ配置エラー(EPE)による情報損失を含む式(B)に応じて行われ、不確実性(Ap)は最小分解特性であり、ΔPVは2EPEに等しい。APのEBDWツール解像度が10nmであり、EPEが2.5nmであると仮定すると、このような汎用撮像システムが1mで(パターン密度が50%と仮定する)転送すべき情報量は、式(C)で表される。300mmウェハの面積は、706cm、つまり、0.0706mである。これに応じて、300mmウェハ上に50%の密度で一般的なレイアウトをパターニングするためには、転送する必要があるバイト数は式(D)で表される。この結果、10wphのTPTについて転送レートが194.4GB/sであると仮定すると、6分間で70TBを転送すべきとなる。本発明の一実施形態に応じて、約10%のパターン密度でビア(および/またはカット)を印刷するよう設計されているEBDWツールはこれに応じて、例えば、現実的な40GB/sという転送レートで、削減した情報を転送する必要がある。具体的な実施形態では、EBDWツールは、約5%のパターン密度でビア(および/またはカット)を印刷するよう設計されており、これに応じて転送すべき情報を削減する必要がある。例えば現実的な20GB/sという転送レートで7TBを転送する。
図7を再度参照すると、情報の転送は、絶対値である64ビットの座標を転送することに代えて、相対的な距離(整数化された距離)を転送することに削減される。電子ビームツールを用いて、50%の密度である一般的なレイアウトパターンに対して、約10%未満の密度で、さらに、5%という低い密度でビアのみをパターニングすることによって、例えば、データ転送量を6分間で70+TBから6分間で7TB未満まで減少させることが可能になる。これによって、電子ビーム装置は、大量生産に必要な製造スループットを実現することが可能になる。
ある実施形態において、データを削減するべく以下の4つの方法のうち1または複数を実装する。
(1)ビアおよびカットの全ての設計ルールを簡略化して、ビアが占有可能で、ラインカットの開始位置および終了位置である位置の数を削減する。
(2)ビア間の距離と同様に、カットの開始および終了の配置の暗号化は、n*最短距離として暗号化される(これによって、カットの開始位置および終了位置のそれぞれの64ビットのアドレスを送信する必要がなくなる。ビア位置についても同様である)。
(3)ツールのカラム毎に、ウェハのこの部分に含まれるカットおよびビアを形成するために必要なデータのみを、カラムコンピュータに転送する(各カラムは、(2)と同様に暗号化された状態で、必要なデータのみを受信する)。および/または
(4)ツール内のカラム毎に、送信されるエリアは、上部および下部でn本のラインずつ、さらに、幅方向はxずつ、増加する(したがって、対応するカラムコンピュータは、ウェハ全体のデータを送信しなくても、ウェハ温度および位置合わせの変化についてオンザフライで調整が可能である)。ある実施形態において、1または複数のこのようなデータ削減方法を実装することで、少なくともある程度までは電子ビームツールを簡略化することが可能になる。例えば、マルチカラム電子ビームツールにおいて一の専用カラムに通常対応付けられている専用のコンピュータまたはプロセッサは、簡略化されるとしてもよいし、または、全て削除されるとしてもよい。つまり、オンボード専用ロジック機能を持つ一のカラムは簡略化されて、ロジック機能をボード外に移動させるとしてもよいし、または、電子ビームツールの各カラムに必要なオンボードロジック機能の量を削減するとしてもよい。
上述した方法(1)に関して、図8は、本発明の一実施形態に応じた、ビア、および、カット開始/終了のための設計ルール位置が簡略化されたグリッド状レイアウト方式を示す図である。横方向グリッド800は、ライン位置が通常通り配置されており、実線802は実際のラインを表し、点線804は占有されていないライン位置を表す。この技術で鍵となるのは、ビア(ハッチングされたボックス806)が、構造格子(図8では縦方向グリッド808として図示している)上にあり、ビアの下方にある金属ライン(実線で囲った横方向の矩形)と平行なスキャン方向810に印刷されている点である。この設計システムの要件は、ビア位置806が縦方向グリッド808とそろった位置でのみ形成される点である。
カットに関しては、ビアグリッドよりも細かいグリッドでカットが形成される。図9は、本発明の一実施形態に応じた、カットの配置として許容可能な例を示す。図9を参照すると、ラインアレイ902では、グリッド906に応じてビア904が配置されている。カットの配置として許容可能な例(例えば、符号を付与したカット908、910および912)は、縦方向の点線914で示し、ビア位置は縦方向の実線906として続いている。カットは常に、グリッド914上で正確に開始され終了している。このことは、ベースコンピュータからカラムコンピュータまで転送するデータ量を削減する上で鍵となる。しかし、縦方向の点線914の位置は構造格子のように見えるが、要件ではないと考えられたい。これに代えて、ビアカットラインを中心とする一対のラインは、ビア位置と相対的に−xnおよび+xnの距離であることが知られている。ビア位置は、カット方向に沿ってm単位ごとに離間している構造格子である。
上述した方法(2)について、カットおよびビアを距離に基づき暗号化することで、64ビットのアドレス全てを送信する必要が無くなるとしてよい。例えば、x位置およびy位置について絶対値である64ビット(または128ビット)のアドレスを送信するよりも、左端からの進行方向に沿った距離(右側へと移動する方向で印刷するウェハラインの場合)または右端からの進行方向に沿った距離(ウェハラインを印刷する方向で左側へ移動する方向で印刷するウェハラインの場合)を暗号化する。ビアラインを中心とする一対のラインは、ビア位置と相対的に−xnおよび+xnの距離にあることが知られており、ビア位置は、カット方向に沿ってm単位毎に離間している構造格子上にある。このため、どのビア印刷位置であっても、ゼロから数字が割り振られたビア位置までの距離(m単位離間している)として暗号化することが可能である。これによって、送信しなければならない位置決めデータの量が大幅に削減される。
前のビアからの相対的なビアカウントを機械に供給することで、情報量はさらに削減することができる。図10は、本発明の一実施形態に応じた、ラインAおよびラインBの間のビアレイアウトを示す図である。図10を参照すると、図示している2本のラインはラインA:ビア1002間隔+1,+4,+1,+2、ラインB:ビア1004間隔+9、といったように削減することができる。ビア1002/1004間隔は、グリッド1006に応じたものである。追加で最も可能性の高い用語の割り当ての伝達理論をさらに実施して、データ空間を縮小し得ると考えられたい。この場合であっても、このようにさらに削減することを無視しても、単純な圧縮を利用して64ビットで表される位置にある4つのビアを、わずかなビット数にまで削減することで、素晴らしい改善結果が得られる。
同様に、カットの開始および終了を削減することで、カット毎に位置決め情報の64ビット(または128ビット)を送信する必要が無くなる。光スイッチと同様に、カットの開始は、次のデータポイントはカットの終了であることを意味し、同様に、次の位置は次のカットの開始である。カットはビア位置から進行方向に+xnで終了することが分かっている(同様に、−xnで開始する)ので、カットの開始/終了に応じて、ビア位置を符号化することが可能であり、ローカルカラムコンピュータはビア位置からのオフセットを再度適用するよう指示され得る。図11は、本発明の一実施形態に応じた、ラインA−Eの間のカットレイアウトを示す図である。図11を参照すると、絶対値である64(または128)ビットの位置を送信する場合に比べて大幅に減少している。
前のカットからの間隔
A:+5(間隙1102として図示)、+1、
B:x(カットなし)(xが何であっても暗号化されると、距離についてカットなし)
C:+1(左側のカットの終了ポイント)、+4(カット1102の開始位置と縦方向に位置合わせされている大きなカットの開始位置)、+3(この大きなカットの終了位置)
D:+3、+4、
E:+3,+2,+1,+4
上述した方法(3)に関して、カラム毎に、カットおよびビアについて送信されるデータは、この所与のカラムの範囲内のウェハフィールドに必要なものにのみ限定される。一例を挙げると、図12は、本発明の一実施形態に応じた、複数のダイ位置1202が存在するウェハ1200を示す図であり、上方にある点線で囲まれたボックス1204は一のカラムのウェハフィールドを表している図である。図12を参照すると、ローカルカラムコンピュータに送信されるデータは、点線で囲まれたボックス1204で図示される印刷領域内のラインのみに限定される。
上述した方法(4)について、ウェハの反り、加熱、および、角度θのチャック位置ずれについての補正は、オンザフライで実行しなければならないので、カラムコンピュータに送信される実際の領域は、上部および下部に数ライン大きくなっていると同様に、追加データを左右に取る。図13は、複数のダイ位置1302が存在するウェハ1300と、上方の一のカラムの実際のターゲットウェハフィールド1304を示す図である。図13に示すように、本発明の一実施形態に応じた、オンザフライ補正を行うために拡大した外周エリア1306が設けられている。図13を参照すると、拡大した外周エリア1306によってカラムコンピュータに送信されるデータ量はわずかに増加する一方、カラムが通常領域の外側で印刷できるようになることで、多種多様な要因に起因するウェハ位置ずれを補償させるようカラムによる印刷を行うことも可能になる。このような要因には、ウェハ位置合わせの問題または局所的な加熱の問題等が含まれるとしてよい。
図14は、本発明の一実施形態に応じた、図13に示した元のターゲットエリア(内側が薄い色で太い点線で囲まれたボックス1304)に対して、印刷すべきエリア(内側が濃い色で細い点線で囲まれたボックス1402)について数度ウェハ回転させた効果を示す図である。図14を参照すると、カラムコンピュータは、機械に複雑な回転チャック(印刷速度を制限する可能性がある)を設けることなく、印刷に関して必要な変更を行うべく、追加で送信されたデータを利用することが可能である。
本発明の実施形態の第3の態様において、集積回路レイアウトについて均一な金属またはその他の格子パターン密度の領域の実施例について説明する。
ある実施形態において、電子ビーム装置のスループットを改善するべく、インターコネクト層の設計ルールを簡略化して、ダイ上のロジック領域、SRAM領域およびアナログ/IO領域に利用可能な所定のピッチのセットを実現可能とする。このような一実施形態において、金属レイアウトはさらに、電子ビームを利用しない従来のリソグラフフィープロセスにおいてビアランディングを可能とするべく現在採用されているように、ワイヤが一方向で段差、直交方向のワイヤ、または、端部のフックが無いことを要件とする。
特定の実施形態において、一方向ワイヤについて3つの異なるワイヤ幅が各メタライゼーション層内で許容されている。ワイヤ内の空隙は正確にカットされ、ビアは全て最大許容サイズでセルフアラインされる。後者は、非常に精密なピッチのワイヤ形成においてビア抵抗を最小限に抑える上で有益である。本明細書で説明する方法は、電子ビームによるラインのカットおよびビアの印刷を効率化し、既存の電子ビームによる解決方法に比べて数桁の改善を実現する。
図15は、本発明の一実施形態に応じた、前のメタライゼーション層内の縦方向の金属ライン1504の上方に重なっているように表されている横方向の金属ライン1502を示す平面図である。図15を参照すると、ワイヤの3つの異なるピッチ/幅1506、1508および1510が許容されている。複数の異なる種類のラインはそれぞれ、図示されているように、チップ領域1512、1514および1516に分割されているとしてよい。領域は概して、図示されているよりも大きいが、実寸に即すとワイヤ上の詳細な構造が比較的小さくなってしまうと考えられたい。同一層上にあるこのような領域は、最初に従来のリソグラフィー技術を用いて製造されるとしてよい。
本明細書の実施形態で説明する技術革新によって、ワイヤを正確にトリミングできるようになり、異なる層の間でビア同士を完全にセルフアラインさせることが可能になる。トリミングは必要に応じて行われ、現在のリソグラフィーに基づくプロセスのようにトリミング‐トリミング(プラグ)ルールが必要ではないと考えられたい。さらに、一実施形態によると、ビア間ルールは大幅に削除される。図示されている密度および関係を持つビアの印刷は、現在の光近接効果補正(OPC)が可能とするリソグラフィーの性能では、困難であるか、または、不可能である。同様に、図示しているカットの一部を除外するようなプラグ/カットルールは、この技術を利用する場合には削除される。このため、インターコネクト/ビア層は、回路設計に課する制限がより少ない。
図15を再度参照すると、縦方向において、複数の異なるピッチおよび幅のラインは重なっておらず、つまり、各領域は縦方向に分割されている。これに対して、図16は、本発明の一実施形態に応じた、前のメタライゼーション層内の縦方向の金属ライン1604の上方に重なっているように表されている横方向の金属ライン1602を示す平面図であり、幅/ピッチが異なる金属ラインが縦方向に重なりあっている様子を示す図である。例えば、ラインペア1606は縦方向において重なり合っており、ラインペア1608は縦方向において重なり合っている。図16を再度参照すると、領域は完全に重なり合っているとしてよい。ライン製造方法で可能であれば、3つのサイズそれぞれのワイヤは交互嵌合している(両手を組み合わせたように配置されている)としてよく、カットおよびビアは依然として完全にユニバーサルカッターで可能になる。これについては、本発明の実施形態の別の態様に基づき以下で説明する。
前後関係を説明すると、図17は、前のメタライゼーション層内の縦方向の金属ラインの上方に重なっているように表されている従来の金属ライン1702を示す平面図である。図17を参照すると、図15および図16に示したレイアウトとは対照的に、従来技術では双方向のワイヤが用いられている。このようなワイヤ配線によれば、長い直交する方向のワイヤとして直交ワイヤ配線、レーンを変更するためのトラック間の小さい段差、および、ラインの引き戻しがビアに進入しないようにビアを配置するべくワイヤの端部に設けられる「フック」が追加で発生する。このような構造の例を図17の×印の位置で示す。このような直交する構造は、許容することで密度に関してわずかながら有益な効果が得られる(特に、上側の×印のトラック段差)との主張も可能であるが、設計ルールを非常に複雑化してしまい、設計ルールの確認も大幅に増加し、同様に、電子ビーム技術等のツールが必要なスループットを実現できなくなってしまう。図17を再度参照すると、従来のOPC/リソグラフィーでは、左側に図示されているビアの一部が実際には製造されなくなると考えられたい。
本発明の実施形態の第4の態様では、電子ビームツールのためのスタッガードブランカアパーチャアレイ(BAA)について説明する。
一実施形態において、ワイヤピッチを最小限に抑えることも可能としつつ、電子ビーム機械のスループットの問題を解決するべく、スタッガードビームアパーチャアレイを実装する。スタッガード構成を持たない場合、エッジ配置エラー(EPE)を考慮すると、ワイヤ幅の2倍である最小ピッチはカットできないことになる。これは、一の積層体において縦方向に積層する可能性はないためである。例えば、図18は、カットすべきまたはビアをターゲット位置に配置すべきライン1802と相対的に、BAAのアパーチャ1800を示す図であり、ラインはアパーチャ1800の下方で矢印1804の方向に沿ってスキャンされる様子を示す図である。図18を参照すると、カットすべきまたはビアを配置すべき所与のライン1802について、カッター開口(アパーチャ)のEPE1806によって、ラインのピッチに等しい矩形開口がBAAグリッドに形成される。
図19は、カットすべきまたはターゲット位置にビアを配置すべき2つのライン1904および1906と相対的に、スタッガードに配置されていないBAAの2つのアパーチャ1900および1902を示す図であり、ラインはアパーチャ1900および1902の下方で矢印1908の方向に沿ってスキャンされる様子を示す図である。図19を参照すると、図18の矩形開口1800が他の同様の矩形開口(例えば、ここでは1900および1902)と共に同じ縦方向の一列に配置されると、カットすべきラインのピッチについての許容値には2×EPE1910という制限が課され、さらに、BAA開口1900と1902との間の距離要件1912が課され、さらに、一のワイヤ1904または1906の幅が加わる。結果として発生する間隔1914は、図19の右端に矢印で示す。このような線形アレイによれば、ワイヤ配線のピッチが大きく制限されてしまい、ワイヤ幅の3−4倍よりはるかに大きい値となる。これは受け入れられない。他の代替例でも受け入れられないものがあり、より密なピッチのワイヤを、2回(またはそれ以上の回数)通過させて、ワイヤ位置を少しずらしてカットする。この方法では、電子ビーム機械のスループットが大きく制限され得る。
図19とは対照的に、図20は、本発明の一実施形態に応じた、カットすべきまたはビアをターゲット位置に配置すべき複数のライン2008と相対的に、BAA2000の2列2002および2004に並んだスタッガードに配置された複数のアパーチャ2006を示す図であり、ライン2008はアパーチャ2006の下方で方向2010に沿ってスキャンされる様子を示しており、スキャン方向は矢印で示している図である。図19を参照すると、スタッガードBAA2000は、2つの線形アレイ2002および2004が図示されているように空間的にスタッガードになっている。2つのスタッガードアレイ2002および2004は、交互にライン2008をカットするか、または、交互にライン2008にビアを配置する。ライン2008は、一実施形態において、ワイヤ幅の2倍の密なグリッドに配置されている。本開示の全体にわたって使用される場合、「スタッガードアレイ」という用語は、一方向(例えば、縦方向)に互い違いになるように開口2006をずらすことを意味し得る。そして、スキャン時のように直交方向(例えば、横方向)に見た場合、重なり合う部分はないか、または、一部重なり合っている部分がある。後者の場合、効果的に重なり合わせることで、位置ずれを許容することができる。
スタッガードアレイは本明細書において簡略化するべく2つの縦方向の列として図示しているが、一の「列」の開口またはアパーチャは縦方向に列状になる必要はないと考えられたい。例えば、一実施形態において、第1のアレイが全体的に縦方向にピッチを持つ限り、そして、スキャン方向に第1のアレイと互い違いになる第2のアレイが全体的に縦方向にピッチを持つ限り、スタッガードアレイが実現される。このように、本明細書で「縦方向の列」と説明したり図示したりする場合には、一列の開口またはアパーチャと特定されていない限り、実際には1または複数の列で構成され得る。一実施形態において、開口の「列」が一列に並んだ開口でない場合、当該「列」におけるずれはストローブタイミングで補償され得る。ある実施形態によると、重要な点は、BAAのスタッガードアレイの開口またはアパーチャが第1の方向に特定のピッチで並んでいるが、第1の方向においてカットまたはビアの間に間隙を生じさせることなくカットまたはビアを配置できるよう、第2の方向にずれていることである。
このため、1または複数の実施形態は、EPE要件を満たすことができない一列配置と逆に、開口がスタッガードに配置されることでカットおよび/またはビアに関するEPE配置要件を満たすことが可能になるスタッガードビームアパーチャアレイに関する。対照的に、スタッガード構成を持たない場合、エッジ配置エラー(EPE)の問題は、ワイヤ幅の2倍である最小ピッチはカットできないことを意味する。これは、一の積層体において縦方向に積層する可能性はないためである。これに代えて、ある実施形態によると、スタッガードBAAを利用することで、ワイヤ位置毎に個別に電子ビーム書き込みを行う場合の速度の4000倍をはるかに超える速度が可能になる。さらに、スタッガードアレイを用いることでワイヤ幅の2倍のワイヤピッチを実現することが可能になる。特定の実施形態によると、アレイでは4096個のスタッガードに設けられた開口が2列で並んでおり、それぞれのカットおよびビアの位置についてEPEが発生し得る。スタッガードアレイは、本明細書で説明しているように、互い違いに配置された開口が2またはそれ以上の列を形成してると考えられたい。
ある実施形態によると、スタッガードアレイを利用することで、BAAのアパーチャの周囲に金属を設けるための空間が残る。この金属部分は、電子ビームをウェハに対して通過またはステアリングするための、または、ファラデーカップまたはブランキングアパーチャにまでステアリングするための1つまたは2つの電極を含む。つまり、各開口は、電子ビームを通過または偏向させるべく個別に電極によって制御されるとしてよい。一実施形態において、BAAには4096個の開口があり、電子ビーム装置は当該アレイの4096個の開口全てをカバーし、各開口は電気的に制御される。太線の黒い矢印で図示しているように、開口の下方でウェハを移動させることによってスループットが改善され得る。
特定の実施形態によると、スタッガードBAAでは互い違いに設けられたBAA開口が2行に配列されている。このようなアレイによると、密なピッチでワイヤを設けることが可能であり、ワイヤピッチはワイヤ幅の2倍とすることができる。さらに、全てのワイヤは一回通過させることでカットすることが可能である(または、ビアは一回通過させることで形成することが可能である)。このため、電子ビーム機械でのスループットが実現可能である。図21Aは、本発明の一実施形態に応じた、BAAの2列のスタッガードに並べられたアパーチャ(左)を、当該スタッガードBAAを用いてパターニングされたカット(横方向のラインの空白部分)またはビア(ハッチングされたボックス)が形成されている複数のライン(右)と相対的に示す図であり、スキャン方向は矢印で示している図である。
図21Aを参照すると、一のスタッガードアレイで形成されるラインは図示されたようになり、ラインは一のピッチで配置され、カットおよびビアがパターニングされている。具体的には、図21Aは、複数のライン2100、または、ラインが存在しない空席ライン位置2102を示す。ビア2104およびカット2106は、ライン2100に沿って形成されているとしてよい。ライン2100は、スキャン方向2112のBAA2110に対して図示されている。このため、図21Aは、一のスタッガードアレイが生成する通常のパターンを図示しているものとしてよい。点線は、パターニング後のラインでカットが発生した箇所を示す(ラインの一部またはライン全体を除去するための全カットを含む)。ビア位置2104は、ワイヤ2100の上にランディングするパターニングビアである。
ある実施形態によると、BAA2110の開口またはアパーチャの全てまたは一部は、ウェハ/ダイがウェハ移動方向2112に沿って下方で移動すると、開状態または「閉状態」に切り替えることが可能である(例えば、ビーム偏向)。一実施形態において、BAAは、各開口が電子ビームをサンプルまで通過させるか、または、ビームを偏向して、例えば、ファラデーカップまたはブランキングアパーチャに向けるかに関して、独立して制御することができる。装置は、ビームカバレッジ全体を偏向して、BAAの一部分のみに照射するように構築されているとしてよく、BAAに設けられているそれぞれの開口は電気的に、電子ビームを通過(オン)または遮断(オフ)するように構成されている。「開口」または「開口高さ」という用語は、受け取り側のウェハに照射されるスポットサイズを意味し、BAA内に物理的に設けられている開口を意味するものではないと考えられたい。これは、物理的に設けられている開口は、最終的にBAAから生成されるスポットサイズ(例えば、ナノメートル単位)よりも大幅に大きく(例えば、ミクロン単位)ためである。このため、本明細書においてBAAのピッチまたはBAAにおける一列の開口が金属ラインのピッチに「対応する」と説明する場合、この説明は実際には、BAAから生成された照射されるスポットのピッチと、カットが行われているラインのピッチとの関係を意味している。一例として挙げているが、BAA2110から生成されるスポットのピッチは、ライン2100のピッチと同一である(両方の列のBAA開口をまとめて考慮した場合)。一方、BAA2110のスタッガードアレイの一列のみから生成されたスポットのピッチは、ライン2100のピッチの2倍である。
また、上述したようなスタッガードビームアパーチャアレイ(スタッガードBAA)を備える電子ビームカラムはさらに、図4に関連付けて説明した特徴に加えて他の特徴も持つと考えられたい。例をいくつか挙げるが、図24Aから図24Cに関連付けて以下でより詳細に説明する。例えば、一実施形態において、サンプルステージは、互いに直交するように印刷されている交互に設けられているメタライゼーション層を収容するように90度回転させることができる(例えば、Xスキャン方向とYスキャン方向との間で回転させる)。別の実施形態では、電子ビームツールは、ウェハをステージに搭載する前に、ウェハを90度回転させることが可能である。
図21Bは、本発明の一実施形態に応じた、図21Aに図示したタイプの金属ラインレイアウトに基づく集積回路内の複数のメタライゼーション層2152で構成される積層体2150を示す断面図である。図21Bを参照すると、一実施形態例において、インターコネクト積層体2150の金属断面は、下層の8個の一致する金属層2154、2156、2158、2160、2162、2164、2166および2168について一のBAAアレイを用いて得られる。上層のより厚み/幅の大きい金属ライン2170および2172はこの一のBAAを用いて形成されるものではないと考えられたい。ビア位置2174は、下層の8個の一致する金属層2154、2156、2158、2160、2162、2164、2166および2168を接続させるものとして図示されている。
本発明の実施形態の第5の態様では、電子ビームツール用の3ビームアパーチャアレイについて説明する。
一実施形態において、ワイヤピッチを最小限に抑えることも可能としつつ電子ビーム機械のスループットの問題を解決するべく、ビームアパーチャアレイを実装する。上述したように、スタッガード構成を持たない場合、エッジ配置エラー(EPE)の問題とは、ワイヤ幅の2倍である最小ピッチはカットできないことを意味する。これは、一の積層体において縦方向に積層する可能性はないためである。後述する実施形態は、スタッガードBAAの概念を拡張して、3つの別個のピッチをウェハ上で露光させる。この際、3回通過させて行うとしてもよいし、または、3つのビームアパーチャアレイ全てを同時に一回で通過させて露光/制御するとしてもよい。最良スループットを実現するためには後者の方法が好ましいとしてよい。
一部の実施例において、1ビームアパーチャアレイに代えて3スタッガードビームアパーチャアレイを用いる。3つの異なるアレイのピッチは、互いに関連しているとしてもよいし(例えば、10−20−30)、または、無関係であるとしてもよい。これら3種類のピッチは、ターゲットダイ上の3つの異なる領域で利用されるとしてもよいし、同じ局所的領域において同時に利用するとしてもよい。
前後関係を説明すると、2種類またはそれ以上のアレイを利用すると、別個の電子ビーム装置が必要になるか、または、ホールサイズ/ワイヤピッチが変更される度にビームアパーチャアレイを変更する必要がある。結果として、スループットに制限が課されるか、および/または、所有コストの問題が発生する。これに代えて、本明細書で説明する実施形態は、複数の(例えば、3種類)のスタッガードアレイを有するBAAに関する。このような一実施形態において(1つのBAAに3つのアレイを含む場合)、3つの異なるピッチのアレイをスループットを低減させることなくウェハ上にパターニングすることができる。 さらに、ビームパターンは3つのアレイのうち1つをカバーするようにステアリングされるとしてよい。この技術を拡張して、全ての3つのアレイのブランカホールを必要に応じてオンおよびオフに制御することで、複数の異なるピッチを任意に混合させてパターニングするために利用することができる。
一例として、図22は、本発明の一実施形態に応じた、3つの異なるスタッガードアレイを含むレイアウトのBAA2200のアパーチャを示す図である。図22を参照すると、2202、2204および2206の3列のブランカアパーチャアレイ2200は、ウェハ/ダイがウェハ移動方向2210に沿って下方を移動すると開状態または「閉状態」(ビーム偏向)に切り替えられるアパーチャ2208の一部または全てによってカットまたはビア作成を行う際に3つの異なるラインピッチに対応して用いられ得る。このような一実施形態では、デバイス内でBAAプレートを変更することなく複数のピッチをパターニングすることができる。さらに、特定の実施形態において、複数のピッチを同時に印刷することができる。どちらの技術でも、BAAの下方でウェハを一回連続的に通過させることで、多くのスポットを印刷することが可能となる。この説明ではピッチが異なる3列それぞれに重点を置いているが、実施形態を拡張して装置内に収まる任意の数のピッチ、例えば、1種類、2種類、3種類、4種類、5種類等を実現し得ると考えられたい。
一実施形態において、BAAは、各開口が電子ビームを通過させるか、または、ビームを偏向してファラデーカップまたはブランキングアパーチャに向けるかに関して、独立して制御することができる。装置は、ビームカバレッジ全体を偏向して、あるピッチの一列にのみに照射するように構築されているとしてよく、このピッチの列に設けられているそれぞれの開口は電気的に、電子ビームを通過(オン)または遮断(オフ)するように構成されている。一例を挙げると、図23は、本発明の一実施形態に応じた、3つの異なるスタッガードアレイ2302、2304および2306を含むレイアウトのBAA2300のアパーチャ2308を示す図であり、電子ビームはこれらのアレイのうち1つ(例えば、アレイ2304)のみをカバーする様子を示す図である。このような装置構成では、ピッチが一種類のみのダイ上の特定の領域についてスループットが改善され得る。下方に位置するウェハの移動方向は矢印2310で示す。
一実施形態において、ピッチアレイを切り替えることを目的として、BAAピッチアレイに向けて電子ビームをステアリング可能とするべく電子ビームカラムに偏向部が追加され得る。一例を挙げると、図24Aは、本発明の一実施形態に応じた、ビームをずらすための偏向部を備える電子ビームリソグラフィー装置の電子ビームカラムを示す概略断面図である。図24Aを参照すると、電子ビームカラム2400は、図4に関連付けて説明しているように、偏向部2402を備える。偏向部は、ビームをずらして、複数のピッチアレイを持つBAA2404のうち適切なアレイに対応する成形アパーチャにおいて適切なピッチ/カット行に導くために用いられるとしてよい。一例を挙げると、図24Bは、本発明の一実施形態に応じた、ピッチ#1、カット#1(2452)、ピッチ#2、カット#2(2454)およびピッチ#N、カット#N(2456)を持つBAA2450の3ピッチアレイ(または、最大でnピッチアレイ)を示す図である。カット#nの高さはカット#n+mの高さに等しいわけではないと考えられたい。
電子ビームカラム2400には他の特徴も含まれているとしてよい。例えば、図24Aをさらに参照すると、ある実施形態において、ステージは、互いに直交するように印刷されている交互に設けられている複数のメタライゼーション層を収容するように90度回転させることができる(例えば、Xスキャン方向とYスキャン方向との間で回転させる)。別の実施形態では、電子ビームツールは、ウェハをステージに搭載する前に、ウェハを90度回転させることが可能である。更に別の例によると、図24Cは、電子ビームカラムに含まれるズームインスリット2460を示す図である。このようなズームインスリット2460がカラム2400上でどのように配置されているかは、図24Aに示している。ズームインスリット2460は、カット高さが異なる場合でも効率を保つべく設けられているとしてよい。上述した特徴のうち1または複数は一の電子ビームカラムに含まれ得ると考えられたい。
別の実施形態では、電子ビームは、BAA上の複数または全てのピッチの列を全て露光する。このような構成では、露光されたBAA開口は全て、電気的に制御されて、「開状態」となりダイに到達するよう電子ビームを通過させるか、または、「オフ」に制御されてダイに到達しないよう電子ビームを遮断する。このような構成の利点としては、ホールを任意に組み合わせて、スループットを低下させることなくラインのカットまたはビアの位置を印刷するために用いられ得ることが挙げられる。図23および図24A−24Cに関連付けて説明した構成を用いた場合も同様の結果が得られるが、ピッチアレイ毎にウェハ/ダイを別々に通過させることが必要になる(スループットは1/nの倍数で低下する。nは、印刷を必要とするBAA上のピッチアレイの数である)。
図25は、本発明の一実施形態に応じた、3つの異なるピッチのスタッガードアレイを含むレイアウトのBAAのアパーチャを示す図であり、電子ビームが全てのアレイをカバーする様子を示す図である。図25を参照すると、本発明の一実施形態に応じて、3つの異なるスタッガードアレイ2502、2504および2506を含むレイアウトのBAA2500のアパーチャ2508を示す図であり、電子ビームはこれらのアレイのうち全て(例えば、アレイ2502、2504および2506をカバー)のみをカバーし得る。下方に位置するウェハの移動方向は矢印2510で示す。
図23または図25のいずれの場合も、3つのピッチの開口が存在することで、3つの異なるライン幅またはワイヤ幅のためのカットまたはビア形成が可能になる。しかし、ラインは対応するピッチアレイのアパーチャと位置合わせされている必要がある(これとは対照的なものとして、ユニバーサルカッターを以下で開示している)。図26は、本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ2600を、当該BAAを用いてパターニングされたカット(例えば、横方向のラインの空白部分2604)またはビア(ハッチングされたボックス2606)が形成されている複数の大きいライン2602と相対的に示す図であり、スキャン方向は矢印2608で示されている図である。図26を参照すると、局所的な領域においてラインはすべてサイズが同じである(この場合、BAAの右側の最も大きいアパーチャ2610に対応する)。このため、図26は、3つのスタッガードビームアパーチャアレイのうち1つが生成する通常パターンを示している。点線は、パターニング後のラインにおいてカットが実行された位置を示す。濃い色に塗った矩形は、ライン/ワイヤ2602の上にランディングするパターニングビアである。この場合、最も大きいブランカアレイのみが可能となる。
図27は、本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ2700を、当該BAAを用いてパターニングされたカット(例えば、横方向のラインの空白部分2704)またはビア(ハッチングされたボックス2706)が形成されている複数の中程度のサイズのライン2702と相対的に示す図であり、スキャン方向は矢印2708で示されている。図27を参照すると、局所的な領域においてラインはすべてサイズが同じである(この場合、BAAの中央の中程度のサイズのアパーチャ2710に対応する)。このため、図27は、3つのスタッガードビームアパーチャアレイのうち1つが生成する通常パターンを示している。点線は、パターニング後のラインにおいてカットが実行された位置を示す。濃い色に塗った矩形は、ライン/ワイヤ2702の上にランディングするパターニングビアである。この場合、中程度のサイズのブランカアレイのみが可能となる。
図28は、本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ2800を、当該BAAを用いてパターニングされたカット(例えば、横方向のラインの空白部分2804)またはビア(ハッチングされたボックス2806)が形成されている複数の小さいライン2802と相対的に示す図であり、スキャン方向は矢印2808で示されている。図28を参照すると、局所的な領域においてラインはすべてサイズが同じである(この場合、BAAの左側の最も小さいアパーチャ2810に対応する)。このため、図28は、3つのスタッガードビームアパーチャアレイのうち1つが生成する通常パターンを示している。点線は、パターニング後のラインにおいてカットが実行された位置を示す。濃い色に塗った矩形は、ライン/ワイヤ2802の上にランディングするパターニングビアである。この場合、小さいブランカアレイのみが可能となる。
別の実施形態では、3つのピッチの組み合わせをパターニングすることが可能である。この場合、アパーチャの位置合わせは、既に対応する位置に配置されているラインに対して行うことが可能である。図29Aは、本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ2900を、当該BAAを用いてパターニングされたカット(例えば、横方向のラインの空白部分2904)またはビア(ハッチングされたボックス2906)が形成されている複数のさまざまなサイズのライン2902と相対的に示す図であり、スキャン方向は矢印2908で示されている図である。図29Aを参照すると、3種類もの異なる幅の金属を、3種スタッガードBAAを介して固定グリッド2950にパターニングすることができる。BAAのうち濃い色を塗ったアパーチャ2910は、スキャン中にオン/オフに制御されている。BAAのうち薄い色を塗ったアパーチャ2912は、オフ状態のままである。このため、図29Aは、3つのスタッガードビームアパーチャアレイをすべて同時に利用して生成する通常パターンを示している。点線は、パターニング後のラインにおいてカットが実行された位置を示す。濃い色に塗った矩形は、ライン/ワイヤ2902の上にランディングするパターニングビアである。この場合、小さいブランカアレイ、中程度のサイズのブランカアレイおよび大きいブランカアレイの全てが可能となる。
図29Bは、本発明の一実施形態に応じた、図29Aに図示したタイプの金属ラインレイアウトに基づく集積回路内の複数のメタライゼーション層で構成される積層体2960を示す断面図である。図29Bを参照すると、一実施形態例において、インターコネクト積層体の金属断面は、下層の8個の一致するレベル2962、2964、2966、2968、2970、2972、2974および2976について1倍、1.5倍および3倍のピッチ/幅の3つのピッチのBAAアレイから得られる。例えば、レベル2962において、一例として1倍のライン2980、1.5倍のライン2982および3倍のライン2984が設けられている。金属の幅についてばらつきが見られるのは、ページからラインが出ている層についてのみと考えられたい。同一層にある金属はすべて、金属の幅に関わらず、厚みは同じである。上層のより厚み/幅の大きい金属は同じ3ピッチBAAを用いて形成されるものではないと考えられたい。
別の実施形態では、アレイにおいて、ラインによって幅が異なるとしてもよい。図30は、本発明の一実施形態に応じた、BAAの3ビームスタッガードアパーチャアレイ3000を、当該BAAを用いてパターニングされたカット(例えば、横方向のラインの空白部分3004)またはビア(ハッチングされたボックス3006)が形成されている複数のさまざまなサイズのライン3002と相対的に示す図であり、スキャン方向は矢印3008で示されている図である。図30を参照すると、複数のライン3002から成るアレイの下から三番目の横方向のライン3050は、幅狭ライン3054と同じグリッドライン3056において、幅広ライン3052を含む。これに対応する、サイズが異なるが横方向に位置合わせされているアパーチャ3060および3062は、サイズが異なるラインにおいてカットを行うため、または、ビアを形成するために用いられ、強調されており横方向において2つのライン3052および3054を中心とする。このため、図30に図示されているシナリオでは、異なる領域において、さらに、パターニング中に、ライン幅を変更する可能性が追加される。
本発明の実施形態の第6の態様では、電子ビームツール用の非ユニバーサルカッターについて説明する。
ある実施形態において、同一領域内にある複数のピッチのワイヤに対してカットすることが可能となる。特定の実施例によると、高スループット電子ビーム処理に基づき、開口高さが予め定められた値に等しいBAAアレイを2つ利用してカットを画定する。一例として、N(20nmで最小レイアウトピッチ)およびM(30nm)は、カット/プラグのトラックがグリッド上に配置される限りにおいて、必要なEPE許容範囲である最小ピッチ/4(N/4)を実現しつつ、複数のピッチのレイアウト(N[20]、M[30]、N*2[40]、N*3またはM*2[60]、N*4[80]、M*3[90]nm)等をカットすることができる。
図31は、本発明の一実施形態に応じた、ピッチが異なるラインセット3102、3104および3106を3つ示す図であり、各ラインには対応するアパーチャ3100が上方に設けられている様子を示す図である。図31を参照すると、40nm、30nmおよび20nmのアレイの縦方向ピッチを図示する。40nmピッチのライン3102について、ラインをカットするためにスタッガードBAA(例えば、2048個の開口を有する)が利用可能である。30nmピッチのライン3104について、ラインをカットするためにスタッガードBAA(例えば、2730個の開口を有する)が利用可能である。20nmピッチのライン3106について、ラインをカットするためにスタッガードBAA(例えば、4096個の開口を有する)が利用可能である。このような例示的なケースでは、10nm刻みの一方向グリッド3150上にありピッチが20nm、30nmおよび40nmである平行なラインをカットする必要がある。BAAは、図31に示すように、ピッチが3種類あり(つまり、3つのサブアレイを含む)、描画されているトラック3160と軸方向に位置合わせされている。
図31の3つのサブアレイのそれぞれにおける各アパーチャが専用のドライバを持つ場合、図示している一方向グリッドと一致したレイアウト上にトラックを持つ複雑なレイアウトのカットは、レイアウト中に存在するピッチの種類の数および混合とは無関係に、ツールスループットで実行することができる。この結果、複数種類のカットの形成、幅が異なる複数種類のカットを同時に形成すること、および、どの一のピッチよりも大きい幅のカットを形成することが可能になる。この構成は、ピッチ無関係スループットと呼ぶとしてもよい。前後関係を説明すると、このような結果は、ピッチ毎にウェハを複数回通過させることが必要な場合には得ることができない。このような実施例はBAA開口サイズを3種類とすることに限定されないと考えられたい。ピッチが異なるBAA同士が一の共通のグリッドを持つという関係が成り立つ限りにおいて、組み合わせをさらに増やすことが可能である。
さらに、ある実施形態において、同時に形成される複数のカットは複数のピッチを持たせることが可能であり、対象となるカット距離を全範囲にわたってカバーするよう複数の異なる開口を組み合わせることでラインの幅を大きくすることができる。例えば、図32は、本発明の一実施形態に応じた、非常に大きいライン3204を1つ含む複数の異なるサイズのライン3202、および、一の共通グリッド3214上のビームアパーチャアレイ縦方向ピッチレイアウト3206(3つのアレイ3208、3210および3212)を示す図である。非常に幅広のライン3204は、縦方向に加算される3つの大きなアパーチャ3216の組み合わせによってカットされる。図32を参照することで、ワイヤ3202は、点線で囲まれたボックス(例えば、アパーチャ3216に対応する点線で囲まれたボックス3218)として図示されているさまざまな開口を利用してカットされるものとして図示されていると考えられたい。
本発明の実施形態の第7の態様では、電子ビームツール用のユニバーサルカッターについて説明する。
ある実施形態において、予め定められた複数の値に等しい開口高さを持つ一の(ユニバーサル)BAAをさまざまなラインピッチ/幅について利用し得るようにカットを画定することによって高スループット電子ビーム処理が可能になる。このような一実施形態において、開口高さは最小ピッチレイアウトの半分を目標とする。「開口高さ」という用語は、受け取り側のウェハに照射されるスポットサイズを意味し、BAA内に物理的に設けられている開口を意味するものではないと考えられたい。これは、物理的に設けられている開口は、最終的にBAAから生成されるスポットサイズ(例えば、ナノメートル単位)よりも大幅に大きく(例えば、ミクロン単位)ためである。具体例を挙げると、開口の高さは最小レイアウトピッチがN=20nmの場合、10nmである。この場合、複数のピッチを含むレイアウト(例えば、N[20]、M[30]、N*2[40]、N*3またはM*2[60]、N*4[80]、M*3[90]nm)等をカットすることができる。カットは、2つのBAA開口の中央と一致する予め定められた一次元(1D)グリッドとトラック軸が位置合わせされている予め定められたグリッド上にカット/プラグのトラックが配置されている限りにおいて、必要なEPE許容範囲である最小ピッチ/4(N/4)を実現しつつ、実行することができる。各金属トラックは、EPE要件であるピッチ/4を満たすべく、最小限で2つの開口を露光することで、隣接しなくなる。
一例を挙げると、図33は、本発明の一実施形態に応じた、複数の異なるサイズのライン3302およびユニバーサルカッターピッチアレイ3304を示す図である。図33を参照すると、特定の実施形態において、例えば、8192個の開口を有する(図示されているのは数個のみ)10nmピッチのアレイ3304を有するBAAをユニバーサルカッターとして用いる。ラインは、共通グリッド3306上にあるものとして図示されているが、一実施形態において、実際にはグリッドと位置合わせする必要は全くないと考えらえたい。当該実施形態において、カッター開口を利用して異なる間隔を実現している。
図33を再度参照しつつより一般的な話をすると、ビームアパーチャアレイ3304は、スタッガード状に並べられた正方形のビーム開口3308のアレイ(例えば、8192個の互い違いに並べられた正方形のビーム開口)を含む。当該アレイは、スキャンを横方向3310に沿って実行しつつ、これらの開口のうち1または複数を縦方向に組み合わせて利用することによって、任意の幅のライン/ワイヤ3302をカットするべく実装可能である。各ワイヤをカットする場合に隣接するワイヤは2*EPEとすることが唯一の制限事項である。一実施形態において、ワイヤは、BAA3304からオンザフライで選択されるユニバーサルカッター開口3308の組み合わせによってカットされる。一例を挙げると、ライン3312はBAA3304のうち3つの開口3314によってカットされる。別の例を挙げると、ライン3316は、BAA3304のうち11個の開口3318によってカットされる。
非ユニバーサルカッターと比較するべく、図33ではアレイ3320のグループ分けを図示する。アレイ3320のグループ分けはユニバーサルカッターでは見られないが、アレイ3320のグループ分けに基づきユニバーサルカッターと非ユニバーサルカッターとを比較するべく図示していると考えられたい。
前後関係を説明すると、他のビームアパーチャアレイ構成では、カットすべきラインの中心線に開口が明確に位置合わせされていることが必要である。これに代えて、本明細書に記載の実施形態によると、ユニバーサルアパーチャアレイ技術によれば、ライン中心線が位置合わせされていない状態で任意の幅のライン/ワイヤのユニバーサルカットが可能となる。さらに、他の技術に係るBAAについては一定とされているライン幅(および間隔)の変更も、ユニバーサルカッターでは許容される。したがって、個々の回路のRC要件に具体的に合わせて製造プロセスまたはライン/ワイヤに対して遅い段階で変更を加えることが可能になるとしてよい。
EPEカバレッジ要件であるピッチ/4が満たされている限り、ユニバーサルカッターを利用する場合においてさまざまなライン/ワイヤを正確に位置合わせする必要はないと考えられたい。唯一の制限は、以下に説明するようにカッターをEPE/4で並べつつEPE/2に等しい距離をライン間に設けるべく、ライン間に十分な間隙が設けられている点である。図34は、本発明の一実施形態に応じた、2つのライン3402および3404に対して言及されるユニバーサルカッター3400のための2*EPEルールを示す図である。図34を参照しつつ説明すると、一番上のラインのEPE3406および一番下のラインのEPE3408によって、ユニバーサルカッターホール3410のピッチに対応する2*EPEの幅が設けられている。このように、開口ピッチのルールは、2つのラインの間の間隙の最小値に対応する。これよりも大きい距離であれば、カッターは任意の幅のラインをカットする。ホールのサイズおよびピッチの最小値は、ラインについての2*EPEと正確に等しいことに留意されたい。
ある実施形態によると、ユニバーサルカッターを用いることによって、電子ビームを用いて製造される半導体サンプルでは、結果として得られる構造においてワイヤの幅および配置がランダムであってよい。しかし、この方法では直交するラインまたはフックが製造されないので、ランダムな配置であっても一方向として説明されることに変わりはない。ユニバーサルカッターは、例えば、カットおよびビアのために用いられる電子ビームパターニングの前に行われるパターニングによって製造され得るものであればどのようなものであっても、多くの異なるピッチおよび幅をカットするように実装され得る。これに対して、上述したスタッガードアレイ型および3スタッガードアレイ型のBAAは、ピッチに関して位置は固定されている。
より一般的な説明をすると、上述した本発明の実施形態の態様全てによれば、ラインカット(またはプラグ)を含むラインを持ち、ビアが対応付けられているメタライゼーション層は、基板の上方に製造され得るものであり、一実施形態において、前のメタライゼーション層の上方に製造されるとしてもよいと考えられたい。一例を挙げると、図35は、本発明の一実施形態に応じた、前の層状メタライゼーション構造を示す平面図および対応する断面図である。図35を参照すると、開始構造3500は、金属ライン3502および層間誘電体(ILD)ライン3504のパターンを含む。開始構造3500は、図35に図示しているように金属ラインが一定のピッチで離間しており一定の幅を持つ格子状パターンでパターニングされているとしてよい。図示していないが、ライン3502は、当該ラインに沿ってさまざまな箇所に断絶部(つまり、カットまたはプラグ)を有するとしてよい。例えば、このようなパターンは、上述したように、ピッチ半減方式またはピッチ四分割方式で製造されるとしてよい。一部のラインは、下方に位置するビアと対応付けられているとしてよい。例えば、断面図においてライン3502´が一例として図示されている。
ある実施形態において、図35の前のメタライゼーション構造の上にメタライゼーション層を製造することは、構造3500の上方に層間誘電体(ILD)材料を形成することで開始される。この後、ハードマスク材料層をILD層上に形成するとしてよい。ハードマスク材料層は、3500のライン3502に直交する一方向ラインから成る格子を形成するようパターニングされるとしてよい。一実施形態において、一方向のハードマスクラインで構成される格子は、従来のリソグラフィー(例えば、フォトレジストおよびその他の関連する層)を用いて製造され、上述したように、ライン密度はピッチ半減方式、ピッチ四分割方式等で決まるとしてよい。ハードマスクラインで構成される格子は、下方のILD層の格子領域を露光させる。金属ライン形成、ビア形成およびプラグ形成のために最終的にパターニングされるのは、ILD層のうちこのように露光された部分である。例えば、ある実施形態において、ビア位置は、上述したようにEBLを用いて露光されたILDの領域において、パターニングされる。このパターニングは、レジスト層の形成、および、ILD領域にエッチングで形成され得るビア開口位置を設けるためのEBLによるレジスト層のパターニングを含むとしてよい。上方にあるハードマスクのラインは、ビアをILDの露光領域のみに限定するために用いられ得る。ハードマスクラインはエッチストップとして効果的に用いられ得るので、重なることが許容されている。プラグ(またはカット)位置も、別個のEBL処理において、上方にあるハードマスクラインで限定されるILDの露光領域にパターニングされ得る。カットまたはプラグの製造によって、ILDのうち、ILD内に製造される金属ラインを最終的に断絶する領域が効果的に保護される。この後、金属ラインはダマシン方式を用いて製造されるとしてよい。この場合、ILDのうち露光部分(ハードマスクライン間の部分であり、プラグ保護層によって保護されていない部分、例えば、「カット」時にパターニングされるレジスト層)の一部分にリセスを形成する。このリセス形成はさらに、ビア位置を拡張して、下方のメタライゼーション構造から金属ラインを開くとしてもよい。この後、部分的にリセスが形成されたILD領域を、上方にあるハードマスクライン間に金属ラインを設けるべく、例えば、メッキ処理およびCMP処理によって、金属で充填する(ビア位置を充填することも含み得るプロセス)。 ハードマスクラインは最終的に、メタライゼーション構造を完成させるべく除去するとしてよい。ラインのカット、ビア形成および最終的なライン形成を実行する順序として上述した順序は一例に過ぎないと考えられたい。本明細書で説明するように、EBLによるカットおよびビアを用いることでさまざまな処理方式が可能となり得る。
ある実施形態において、本明細書で用いる場合、層間誘電体(ILD)材料は、一種類の誘電体材料または絶縁体材料から成る一層で構成されているか、または、そのような一層を含む。適切な誘電体材料の例には、これらに限定されないが、シリコン酸化物(例えば、二酸化シリコンSiO)、シリコン酸化物にドーピングを行ったもの、シリコンのフッ化酸化物、炭素がドーピングされたシリコン酸化物、関連技術分野で公知のさまざまなlow−k誘電体材料、および、これらの組み合わせが含まれる。層間誘電体材料は、例えば、化学気相成長法(CVD)、物理気相成長法(PVD)、または、その他の堆積方法等の従来技術を利用して形成されるとしてよい。
ある実施形態によると、本開示でも用いているように、インターコネクト材料は1または複数の金属構造またはその他の導電構造で構成される。一般的な例としては、銅線および銅製の構造の利用が挙げられる。銅と周囲のILD材料との間にはバリア層が設けられているとしてもよいし、設けられていないとしてもよい。本明細書で用いられる場合、「金属」という用語は、合金、積層体およびその他の複数の金属の複合体を含む。例えば、金属インターコネクトラインは、バリア層、複数の異なる金属または合金等で構成される積層体を含むとしてよい。また、インターコネクトラインは関連技術分野において、配線、ワイヤ、ライン、金属、または、単にインターコネクトと呼ばれることもある。
ある実施形態において、この説明でも全体にわたって用いられているが、ハードマスク材料は、層間誘電体材料とは異なる誘電体材料で構成されている。一部の実施形態において、ハードマスク層は、シリコン窒化物(例えば、窒化シリコン)の層あるいはシリコン酸化物の層、または、両方、または、これらの組み合わせを含む。他の適切な材料には、炭素ベースの材料が含まれるとしてよい。別の実施形態では、ハードマスク材料は金属種を含む。例えば、ハードマスクまたはその他の上方に設けられている材料は、チタンまたはその他の金属の窒化物(例えば、窒化チタン)の層を含むとしてよい。これらの層のうち1または複数の層に酸素等の他の材料がより少ない量で含まれている可能性がある。これに代えて、関連技術分野で公知の他のハードマスク層は、特定の実施例に応じて利用されるとしてよい。ハードマスク層は、CVD、PVDまたはその他の堆積方法で形成されるとしてよい。
図35に関連して説明している層および材料は通常、下方に位置する半導体基板または半導体構造、例えば、集積回路の下方に位置するデバイス層の上または上方に形成されていると考えられたい。ある実施形態によると、下方半導体基板は、集積回路を製造するために用いられる一般的なワークピース物体を表している。多くの場合、半導体基板は、シリコンまたは別の半導体材料のウェハまたはその他の部品を含む。適切な半導体基板としては、これらに限定されないが、単結晶シリコン、多結晶シリコン、およびシリコンオンインシュレータ(SOI)が含まれ、同様に、他の半導体材料で形成されている類似の基板も含まれる。半導体基板は、製造段階に応じて、トランジスタ、集積回路等を含むことが多い。このような基板はさらに、半導体材料、金属、誘電体、ドーパントおよび半導体基板に一般的に含まれるその他の材料を含むとしてよい。さらに、図35に図示されている構造は、下方に位置する低位のインターコネクト層の上に製造されているとしてよい。
別の実施形態では、EBLカットは、集積回路のうちPMOSデバイスまたはNMOSデバイス等の半導体デバイスを製造するために用いられるとしてよい。このような一実施形態において、EBLカットは、最終的にフィンベース構造またはトライゲート構造を形成するために用いられるアクティブ領域の格子をパターニングするために用いられる。別の同様の実施形態において、EBLカットは、最終的にゲート電極製造に用いられるポリ層等のゲート層をパターニングするために用いられる。完成したデバイスの一例として、図36Aおよび図36Bは、本発明の一実施形態に応じた複数のフィンを備える非プレーナ型半導体デバイスを示す断面図および平面図(断面図のa−a´軸に沿ったもの)を示す。
図36Aを参照すると、半導体構造または半導体デバイス3600は、基板3602から形成され、分離領域3606内に位置している非プレーナ型アクティブ領域(例えば、突出したフィン部分3604およびサブフィン領域3605を有するフィン構造)を備える。非プレーナ型アクティブ領域の突出部分3604の上方、且つ、分離領域3606の一部分の上方にゲートライン3608が配置されている。図示されているように、ゲートライン3608は、ゲート電極3650およびゲート誘電体層3652を含む。一実施形態において、ゲートライン3608はさらに、誘電体キャップ層3654を含むとしてよい。この視点からは、上方に位置する金属インターコネクト3660と共に、ゲートコンタクト3614および上方に位置するゲートコンタクトビア3616もまた見える。これらはすべて、層間誘電体の積層体または層3670内に配置されている。また、図36Aの視点から分かるように、ゲートコンタクト3614は、一実施形態において、分離領域3606の上方に配置されているが、非プレーナ型アクティブ領域の上方には配置されていない。
図36Bを参照すると、ゲートライン3608は、突出したフィン部分3604の上方に配置されているものとして図示されている。この視点では、突出したフィン部分3604のソース領域およびドレイン領域3604Aおよび3604Bを見ることができる。一実施形態において、ソース領域およびドレイン領域3604Aおよび3604Bは、突出したフィン部分3604の元々の材料を一部分ドープして得られる部分である。別の実施形態では、突出したフィン部分3604の材料を除去して別の半導体材料、例えば、エピタキシャル堆積物と置き換える。いずれの場合も、ソース領域およびドレイン領域3604Aおよび3604Bは、誘電体層3606の高さ方向の下方に延伸、つまり、サブフィン領域3605内に延伸しているとしてよい。
ある実施形態によると、半導体構造または半導体デバイス3600は、これらに限定されないが、FinFETまたはトライゲートデバイス等の非プレーナ型デバイスである。このような実施形態において、対応する半導体チャネル領域は、3次元物体で構成されているか、または、3次元物体内に形成されている。このような一実施形態では、ゲートライン3608のゲート電極積層体は少なくとも、3次元物体の上面および一対の側壁の周囲に設けられている。
本明細書で開示した実施形態は、多種多様な複数の異なる種類の集積回路および/または微小電子機器を製造するために用いられるとしてよい。このような集積回路の例には、これらに限定されないが、プロセッサ、チップセットコンポーネント、グラフィクスプロセッサ、デジタルシグナルプロセッサ、マイクロコントローラ等が含まれる。他の実施形態では、半導体メモリを製造するとしてよい。さらに、集積回路または他の微小電子機器は、関連技術分野で公知の多種多様な電子デバイスで用いられるとしてよい。例えば、コンピュータシステム(例えば、デスクトップ、ラップトップ、サーバ)、携帯電話、個人用電子機器等で用いられる。集積回路は、このようなシステムにおいて、バスおよび他のコンポーネントと結合されているとしてよい。例えば、プロセッサは、1または複数のバスによって、メモリ、チップセット等に結合されているとしてよい。プロセッサ、メモリおよびチップセットはそれぞれ、本明細書で開示した方法を利用して製造され得る。
図37は、本発明の一実施例に応じたコンピューティングデバイス3700を示す図である。コンピューティングデバイス3700は、ボード3702を収容する。ボード3702は、多数のコンポーネントを含むとしてよい。これらに限定されないが、プロセッサ3704および少なくとも1つの通信チップ3706を含むとしてよい。プロセッサ3704は物理的および電気的にボード3702に結合されている。一部の実施例において、少なくとも1つの通信チップ3706もまた、物理的および電気的にボード3702に結合されている。別の実施例によると、通信チップ3706はプロセッサ3704の一部である。
コンピューティングデバイス3700は、用途に応じて、物理的および電気的にボード3702に結合されている、または、結合されていない他のコンポーネントを含むとしてよい。これらの他のコンポーネントには、これらに限定されないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィクスプロセッサ、デジタルシグナルプロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、電池、オーディオコーデック、ビデオコーデック、電力増幅器、グローバルポジショニングシステム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および、大容量記憶装置(ハードディスクドライブ、コンパクトディスク(CD)、デジタルバーサティルディスク(DVD)等)等が含まれる。
通信チップ3706によって、コンピューティングデバイス3700との間でデータ転送するための無線通信が可能になる。「無線」という用語およびそれに派生する表現は、固体でない媒体を介して電磁波の変調を利用してデータを通信する回路、デバイス、システム、方法、技術、通信チャネル等を説明するために用いられるとしてよい。「無線」という用語は、一部の実施形態ではその場合もあるが、対応付けられているデバイスがワイヤを全く含まないことを意図するものではない。通信チップ3706は、多数の無線規格または無線プロトコルのいずれかを実装するとしてよい。これらに限定されないが、Wi−Fi(IEEE802.11ファミリー)、WiMAX(IEEE802.16ファミリー)、IEEE802.20ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、Bluetooth(登録商標)、これらから派生した規格またはプロトコルを含むと共に、3G、4G、5Gおよびそれ以降で定められている任意の他の無線プロトコルを含む。コンピューティングデバイス3700は、複数の通信チップ3706を含むとしてよい。例えば、第1の通信チップ3706は、Wi−FiおよびBluetooth(登録商標)等の近距離無線通信専用としてもよい。第2の通信チップ3706は、GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev−DO等の長距離無線通信専用としてもよい。
コンピューティングデバイス3700のプロセッサ3704は、プロセッサ3704内にパッケージングされた集積回路ダイを含む。本発明の一部の実施例において、プロセッサの集積回路ダイは、本発明の実施形態の実施例に応じて、CEBLを用いて製造された1または複数の構造を含む。「プロセッサ」という用語は、レジスタおよび/またはメモリからの電子データを処理して、この電子データを、レジスタおよび/またはメモリに格納される他の電子データに変換する任意のデバイスまたはデバイスの一部分を意味するとしてよい。
通信チップ3706はさらに、通信チップ3706内にパッケージングされている集積回路ダイを含む。本発明の実施形態の別の実施例によると、通信チップの集積回路ダイは、本発明の実施形態の実施例に応じて、CEBLを用いて製造された1または複数の構造を含む。
別の実施例によると、コンピューティングデバイス3700内に収容されている別のコンポーネントは、本発明の実施形態の実施例に応じて、CEBLを用いて製造された1または複数の構造を含む集積回路ダイを含むとしてよい。
さまざまな実施例において、コンピューティングデバイス3700は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、携帯情報端末(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテインメントコントロールユニット、デジタルカメラ、ポータブル音楽プレーヤ、または、デジタルビデオレコーダであってよい。別の実施例において、コンピューティングデバイス3700は、データを処理する任意の他の電子デバイスであってよい。
本発明の実施形態は、命令を格納している機械可読媒体を含み得るコンピュータプログラム製品またはソフトウェアとして提供されるとしてもよい。命令は、本発明の実施形態に応じたプロセスを実行するようコンピュータシステム(または、他の電子デバイス)をプログラミングするよう用いられるとしてよい。一実施形態において、コンピュータシステムは、図4および/または図24Aから図24Cに基づいて説明したような電子ビームツールに結合されている。機械可読媒体は、機械(例えば、コンピュータ)が読み取り可能な形態で情報を格納または送信するための任意のメカニズムを含む。例えば、機械可読(例えば、コンピュータ可読)媒体は、機械(例えば、コンピュータ)可読記憶媒体(例えば、リードオンリーメモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気ディスク記憶媒体、光記憶媒体、フラッシュメモリデバイス等)、機械(例えば、コンピュータ)可読送信媒体(電気信号、光信号、音響信号またはその他の形態の伝搬信号(例えば、赤外線信号、デジタル信号等))等を含む。
図38は、一例としてコンピュータシステム3800として機械を図表で示す図である。コンピュータシステム3800では、本明細書で説明した方法のうち任意の1または複数(例えば、エンドポイント検出)を機械に実行させるための命令セットが実行されるとしてよい。別の実施形態では、この機械は、ローカルエリアネットワーク(LAN)、イントラネット、エクストラネットまたはインターネットで他の機械に接続されているとしてよい(例えば、ネットワーク化されているとしてよい)。この機械は、クライアントサーバネットワーク環境においてサーバマシンまたはクライアントマシンの機能を果たすべく動作するとしてよい。または、ピアツーピア(または分散型)ネットワーク環境においてピアマシンとして動作するとしてよい。この機械は、パーソナルコンピュータ(PC)、タブレットPC、セットトップボックス(STB)、携帯情報端末(PDA)、携帯電話、ウェブ機器、サーバ、ネットワークルータ、スイッチあるいはブリッジ、または、この機械が実施すべき動作を特定した命令セット(逐次型またはそれ以外)を実行可能な任意の機械であってよい。さらに、一の機械のみが図示されているが、「機械」という用語は、本明細書で説明した方法のうち任意の1または複数を実行するための命令セット(または、複数の命令セット)を個別または協働して実行し得る複数の機械(例えば、コンピュータ)の集合体を含むようにも解釈され得る。
一例として挙げているコンピュータシステム3800は、プロセッサ3802、メインメモリ3804(例えば、リードオンリーメモリ(ROM)、フラッシュメモリ、シンクロナスダイナミックランダムアクセスメモリ(SDRAM)等のDRAMまたはRambus DRAM(RDRAM)等)、スタティックメモリ3806(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)等)、および、セカンダリメモリ3818(例えば、データ記憶デバイス)を備える。これらは互いに、バス3830を介して通信する。
プロセッサ3802は、1または複数の、マイクロプロセッサ、中央演算処理装置等の汎用処理デバイスを表す。より具体的には、プロセッサ3802は、複合命令セットコンピュータ(CISC)マイクロプロセッサ、縮小命令セットコンピュータ(RISC)マイクロプロセッサ、超長命令ワード(VLIW)マイクロプロセッサ、他の命令セットを実装しているプロセッサ、または、複数の命令セットの組み合わせを実装しているプロセッサであってよい。プロセッサ3802はさらに、1または複数の特定用途向け処理デバイス、例えば、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタルシグナルプロセッサ(DSP)、ネットワークプロセッサ等であってよい。プロセッサ3802は、本明細書で説明している処理を実行するための処理ロジック3826を実行するよう構成されている。
コンピュータシステム3800はさらに、ネットワークインターフェースデバイス3808を備えるとしてよい。コンピュータシステム3800はさらに、ビデオディスプレイユニット3810(例えば、液晶ディスプレイ(LCD)、発光ダイオードディスプレイ(LED)または陰極線管(CRT))、英数字入力デバイス3812(例えば、キーボード)、カーソル制御デバイス3814(例えば、マウス)、および、信号生成デバイス3816(例えば、スピーカ)を備えるとしてよい。
セカンダリメモリ3818は、本明細書で説明している方法または機能のうち任意の1または複数を具現化する1または複数の命令セット(例えば、ソフトウェア3822)が格納されている機械アクセス可能記憶媒体(または、より具体的には、コンピュータ可読記憶媒体)3832を有するとしてよい。ソフトウェア3822はさらに、全体または少なくとも一部分が、コンピュータシステム3800による実行中にメインメモリ3804および/またはプロセッサ3802に存在するとしてよい。メインメモリ3804およびプロセッサ3802はさらに、機械可読記憶媒体を構成する。ソフトウェア3822はさらに、ネットワークインターフェースデバイス3808を介してネットワーク3820で送受信されるとしてよい。
一実施形態例では機械アクセス可能記憶媒体3832は一の媒体であるものとして図示されているが、「機械可読記憶媒体」という用語は、1または複数の命令セットを格納する一の媒体または複数の媒体(例えば、一元化データベースあるいは分散型データベース、および/または、対応付けられているキャッシュおよびサーバ)を含むものとして解釈されるべきである。「機械可読記憶媒体」という用語はさらに、機械によって実行されるべき命令セットを格納またはエンコードすることが可能であり、且つ、機械に本発明の方法のうち任意の1または複数を実行させる任意の媒体を含むものとしても解釈されるべきである。したがって、「機械可読記憶媒体」という用語は、これらに限定されないが、ソリッドステートメモリ、光学媒体および磁気媒体を含むものとして解釈されるべきである。
本発明の実施形態の実施例は、半導体基板等の基板に対して形成または実行されるとしてよい。一実施例によると、半導体基板は、バルクシリコンサブ構造またはシリコンオンインシュレータサブ構造を用いて形成される結晶基板であってよい。他の実施例によると、半導体基板は別の材料を用いて形成されるとしてよい。このような材料は、シリコンと組み合わせられているとしてもよいし、組み合わせられていないとしてもよく、これらに限定されないが、ゲルマニウム、アンチモン化インジウム、テルル化鉛、ヒ化インジウム、リン化インジウム、ヒ化ガリウム、ヒ化インジウムガリウム、アンチモン化ガリウム、または、第III−V族材料または第IV族材料のその他の組み合わせを含む。ここで基板形成材料の例を数個挙げるが、半導体デバイスを構築し得る基礎となり得る材料であればどのような材料であっても本発明の意図および範囲に含まれる。
上記基板上に、複数のトランジスタ、例えば金属酸化膜半導体電界効果トランジスタ(MOSFETまたは単にMOSトランジスタ)を製造するとしてよい。本発明のさまざまな実施例によると、MOSトランジスタはプレーナ型トランジスタ、非プレーナ型トランジスタ、または、両方の組み合わせであってよい。非プレーナ型トランジスタは、ダブルゲートトランジスタおよびトライゲートトランジスタ等のFinFETトランジスタを含み、ナノリボントランジスタおよびナノワイヤトランジスタ等のラップアラウンドゲートトランジスタまたはオールアラウンドゲートトランジスタを含む。本明細書で説明している実施例はプレーナ型トランジスタのみを例示しているが、本発明は非プレーナ型トランジスタを用いた場合も実行し得ると留意されたい。
各MOSトランジスタは、少なくとも二層で形成されるゲートスタック、ゲート誘電体層およびゲート電極層を含む。ゲート誘電体層は、一の層を含むとしてもよいし、または、複数の層から成る積層体を含むとしてもよい。このような1または複数の層は、酸化シリコン、二酸化シリコン(SiO)および/またはhigh−k誘電体材料を含むとしてよい。high−k誘電体材料は、ハフニウム、シリコン、酸素、チタン、タンタル、ランタン、アルミニウム、ジルコニウム、バリウム、ストロンチウム、イットリウム、鉛、スカンジウム、ニオビウムおよび亜鉛等の元素を含むとしてよい。ゲート誘電体層で用いられるhigh−k誘電体材料の例は、これらに限定されないが、酸化ハフニウム、酸化ハフニウムシリコン、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムシリコン、酸化タンタル、酸化チタン、酸化バリウムストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタルおよびニオブ酸鉛亜鉛を含む。一部の実施形態において、high−k材料を用いる場合にはゲート誘電体層に対してアニーリングプロセスを実行して品質を改善するとしてよい。
ゲート電極層がゲート誘電体層の上に形成される。ゲート電極層は、トランジスタがPMOSトランジスタまたはNMOSトランジスタのいずれになるかに応じて、少なくとも1つのP型仕事関数金属またはN型仕事関数金属で構成されるとしてよい。一部の実施例では、ゲート電極層は、2またはそれ以上の金属層で構成される積層体で構成されるとしてよい。1または複数の金属層は、仕事関数金属層であり、少なくとも1つの金属層は充填金属層である。
PMOSトランジスタの場合、ゲート電極で用いられる金属は、これらに限定されないが、ルテニウム、パラジウム、プラチナ、コバルト、ニッケルおよび酸化ルテニウム等の導電性金属酸化物を含む。P型金属層の場合、仕事関数が約4.9eVと約5.2eVとの間であるPMOSゲート電極の形成が可能になる。NMOSトランジスタの場合、ゲート電極に用いられる金属は、これらに限定されないが、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、これらの金属の合金およびこれらの金属の炭化物、例えば、炭化ハフニウム、炭化ジルコニウム、炭化チタン、炭化タンタルおよび炭化アルミニウムを含む。N型金属層の場合、仕事関数が約3.9eVと約4.2eVとの間であるNMOSゲート電極の形成が可能になる。
一部の実施例によると、ゲート電極は、基板表面に対して略平行な底部と、基板上面に略垂直な2つの側壁部分とを含む「U字型」構造で構成されるとしてよい。別の実施例によると、ゲート電極を形成する金属層のうち少なくとも1つは単に、基板上面に略平行で基板上面に略垂直な側壁部分を含まない平面層であってよい。本発明の別の実施例によると、ゲート電極はU字型構造と、平面状でU字型でない形状の構造との組み合わせで構成されるとしてよい。例えば、ゲート電極は、1または複数の平面状でU字型でない形状の層の上に形成されている1または複数のU字型の金属層で構成されるとしてよい。
本発明の一部の実施例によると、ゲートスタックを取り囲むように、ゲートスタックの両側に一対の側壁スペーサが形成されているとしてよい。側壁スペーサは、窒化シリコン、酸化シリコン、炭化シリコン、炭素がドープされた窒化シリコンおよび酸窒化シリコン等の材料で形成されるとしてよい。側壁スペーサを形成するためのプロセスは、関連技術分野で公知であり、一般的に堆積ステップおよびエッチングステップを含む。別の実施例によると、複数のスペーサ対が用いられるとしてよく、例えば、2対、3対または4対の側壁スペーサがゲートスタックの互いに対向する両側に形成されるとしてよい。
関連技術分野で公知であるように、ソース領域およびドレイン領域は、基板内部で、各MOSトランジスタのゲートスタックに隣接して形成される。ソース領域およびドレイン領域は一般的に、注入/拡散プロセスまたはエッチング/堆積プロセスのいずれかを用いて形成される。前者のプロセスを用いる場合、ホウ素、アルミニウム、アンチモン、リンまたはヒ素等のドーパントを基板にイオン注入してソース領域およびドレイン領域を形成するとしてよい。通常、ドーパントを活性化して基板内でさらに奥に拡散させるためのアニーリングプロセスがイオン注入プロセスの後に行われる。後者のプロセスを用いる場合、基板に対して最初にエッチングを実行して、ソース領域およびドレイン領域の位置にリセスを形成するとしてよい。この後、エピタキシャル成長プロセスを実行して、ソース領域およびドレイン領域を製造するために用いられる材料でリセスを充填するとしてよい。一部の実施例によると、ソース領域およびドレイン領域は、シリコンゲルマニウムまたは炭化シリコン等のシリコン合金を用いて製造されるとしてよい。一部の実施例において、エピタキシャル成長させたシリコン合金は、ホウ素、ヒ素またはリン等のドーパントでインサイチュにドープされるとしてよい。別の実施形態では、ソース領域およびドレイン領域は、ゲルマニウムまたは第III−V族の材料または合金等の別の1または複数の半導体材料を用いて形成されるとしてよい。別の実施形態においては、金属および/または金属合金の1または複数の層を用いてソース領域およびドレイン領域を形成するとしてよい。
1または複数の層間誘電体(ILD)をMOSトランジスタの上方に堆積させる。ILD層は、集積回路構造で適用可能であることが公知である誘電体材料、例えば、low−k誘電体材料を用いて形成されるとしてよい。利用され得る誘電体材料の例としては、これらに限定されないが、二酸化シリコン(SiO)、炭素がドープされた酸化物(CDO)、窒化シリコン、ペルフルオロシクロブタンまたはポリテトラフルオロエチレン等の有機ポリマー、フルオロケイ酸塩ガラス(FSG)、および、シルセスキオキサン、シロキサンまたは有機ケイ酸塩ガラス等の有機ケイ酸塩が含まれる。ILD層は、誘電率をさらに低減させるべく孔または空隙を含むとしてもよい。
図39は、本発明の1または複数の実施形態を含むインターポーザ3900を示す図である。インターポーザ3900は、第1の基板3902を第2の基板3904に橋絡するために用いられる中間基板である。第1の基板3902は、例えば、集積回路ダイであってよい。第2の基板3904は、例えば、メモリモジュール、コンピュータマザーボードまたは別の集積回路ダイであってよい。概して、インターポーザ3900の目的は、接続のピッチを広くするため、または、接続を別の接続へとルーティングし直すためである。例えば、インターポーザ3900は、集積回路ダイを、後に第2の基板3904に結合され得るボールグリッドアレイ(BGA)3906に結合するとしてよい。一部の実施形態において、第1および第2の基板3902/3904はインターポーザ3900の互いに対向する側に取着されている。他の実施形態において、第1および第2の基板3902/3904はインターポーザ3900の片側に取着されているとしてよい。別の実施形態において、3またはそれ以上の基板をインターポーザ3900を用いて相互接続する。
インターポーザ3900は、エポキシ樹脂、ファイバーグラスで補強されたエポキシ樹脂、セラミック材料、または、ポリイミド等のポリマー材料で形成されるとしてよい。別の実施例によると、インターポーザは、半導体基板で用いられるものとして上述したものと同じ材料、例えば、シリコン、ゲルマニウム、ならびに、その他の第III−V族材料および第IV族材料を含む別の剛性材料または可撓性材料で形成されるとしてよい。
インターポーザは、金属インターコネクト3908およびビア3910を含むとしてよい。ビア3910は、シリコン貫通ビア(TSV)3912を含むがこれに限定されない。インターポーザ3900はさらに、パッシブ型およびアクティブ型のデバイスの両方を含む埋め込み型デバイス3914を含むとしてよい。このようなデバイスは、これらに限定されないが、コンデンサ、デカップリングコンデンサ、抵抗器、インダクタ、ヒューズ、ダイオード、変圧器、センサおよび静電放電(ESD)デバイスを含む。無線周波数(RF)デバイス、電力増幅器、電力管理デバイス、アンテナ、アレイ、センサおよびMEMSデバイス等のより複雑なデバイスもインターポーザ3900上に形成されるとしてよい。
本発明の実施形態によると、本明細書で開示している装置またはプロセスはインターポーザ3900の製造で利用され得る。
図40は、本発明の一実施形態に係るコンピューティングデバイス4000を示す図である。コンピューティングデバイス4000は、多数のコンポーネントを備えるとしてよい。一実施形態において、これらのコンポーネントは1または複数のマザーボードに取着されている。別の実施形態において、これらのコンポーネントは、マザーボードではなく一のシステムオンチップ(SoC)ダイに製造される。コンピューティングデバイス4000のコンポーネントは、これらに限定されないが、集積回路ダイ4002および少なくとも1つの通信チップ4008を含む。一部の実施例において、通信チップ4008は集積回路ダイ4002の一部として製造される。集積回路ダイ4002は、CPU4004およびオンダイメモリ4006を含むとしてよい。オンダイメモリ4006は、キャッシュメモリとして利用されることが多く、埋め込み型DRAM(eDRAM)またはスピン注入メモリ(STTMまたはSTTM−RAM)等の技術によって実現され得る。
コンピューティングデバイス4000が備えるその他のコンポーネントは、マザーボードに物理的および電気的に結合されるとしてもよいしそうでないとしてもよく、または、SoCダイ内部に製造されるとしてもよいしそうでないとしてもよい。このようなその他のコンポーネントとしては、これらに限定されないが、揮発性メモリ4010(例えば、DRAM)、不揮発性メモリ4012(例えば、ROMまたはフラッシュメモリ)、グラフィクスプロセッシングユニット4014(GPU)、デジタルシグナルプロセッサ4016、暗号プロセッサ4042(ハードウェア内で暗号化アルゴリズムを実行する特定用途向けプロセッサ)、チップセット4020、アンテナ4022、ディスプレイまたはタッチスクリーンディスプレイ4024、タッチスクリーンコントローラ4026、電池4029またはその他の電源、電力増幅器(不図示)、グローバルポジショニングシステム(GPS)デバイス4028、コンパス4030、モーションコプロセッサまたはモーションセンサ4032(加速度計、ジャイロスコープおよびコンパスを含むとしてよい)、スピーカ4034、カメラ4036、ユーザ入力デバイス4038(キーボード、マウス、スタイラスおよびタッチパッド等)、および、大容量記憶装置4040(ハードディスクドライブ、コンパクトディスク(CD)、デジタルバーサティルディスク(DVD)等)が含まれる。
通信チップ4008によって、コンピューティングデバイス4000との間でデータ転送するための無線通信が可能になる。「無線」という用語およびそれに派生する表現は、固体でない媒体を介して電磁波の変調を利用してデータを通信する回路、デバイス、システム、方法、技術、通信チャネル等を説明するために用いられるとしてよい。「無線」という用語は、一部の実施形態ではその場合もあるが、対応付けられているデバイスがワイヤを全く含まないことを意図するものではない。通信チップ4008は、多数の無線規格または無線プロトコルのいずれかを実装するとしてよい。これらに限定されないが、Wi−Fi(IEEE802.11ファミリー)、WiMAX(IEEE802.16ファミリー)、IEEE802.20ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、Bluetooth(登録商標)、これらから派生した規格またはプロトコルを含むと共に、3G、4G、5Gおよびそれ以降で定められている任意の他の無線プロトコルを含む。コンピューティングデバイス4000は、複数の通信チップ4008を含むとしてよい。例えば、第1の通信チップ4008は、Wi−FiおよびBluetooth(登録商標)等の近距離無線通信専用としてもよい。第2の通信チップ4008は、GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev−DO等の長距離無線通信専用としてもよい。
コンピューティングデバイス4000のプロセッサ4004は、本発明の実施形態の実施例に応じて、CEBLを用いて製造される1または複数の構造を含む。「プロセッサ」という用語は、レジスタおよび/またはメモリからの電子データを処理して、この電子データを、レジスタおよび/またはメモリに格納される他の電子データに変換する任意のデバイスまたはデバイスの一部分を意味するとしてよい。
通信チップ4008もまた、本発明の実施形態の実施例に応じて、CEBLを用いて製造される1または複数の構造を含むとしてよい。
別の実装形態によると、コンピューティングデバイス4000内に収容されている別のコンポーネントは、本発明の実施形態の実施例に応じて、CEBLを用いて製造された1または複数の構造を含むとしてよい。
さまざまな実施形態において、コンピューティングデバイス4000は、ラップトップコンピュータ、ネットブックコンピュータ、ノートブックコンピュータ、ウルトラブックコンピュータ、スマートフォン、タブレット、携帯情報端末(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテインメントコントロールユニット、デジタルカメラ、ポータブル音楽プレーヤ、または、デジタルビデオレコーダであってよい。別の実施例において、コンピューティングデバイス4000は、データを処理する任意の他の電子デバイスであってよい。
図示した本発明の実施形態の実施例の説明を上述したが、要約書に記載した内容を含め、開示した形態に正確に本発明を制限するものではなく、または、本発明を全て記載することを意図したものでもない。例示を目的として本発明の具体的な実施例および本発明の例を本明細書において記載しているが、当業者であれば認めるように、本発明の範囲内においてさまざまな均等な変形例が実施可能である。
このような変形例は、詳細に上述した内容を鑑みて本発明に対して実施し得るものである。以下に記載する請求項で用いる用語は、明細書および請求項に開示している具体的な実施例に本発明を限定するよう解釈されるべきではない。むしろ、本発明の範囲は、以下に記載する請求項によってのみ決定されるべきであり、請求項は既に確立している請求項解釈の原則にしたがって解釈されるべきである。
ある実施形態において、集積回路のメタライゼーション層のレイアウトは、第1の幅および第1のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第1の領域を備える。当該レイアウトはさらに、第2の幅および第2のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第2の領域を備え、第2の幅および第2のピッチはそれぞれ、第1の幅および第1のピッチとは異なる。当該レイアウトはさらに、第3の幅および第3のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第3の領域を備え、第3の幅は、第1の幅および第2の幅とは異なり、第3のピッチは第1のピッチおよび第2のピッチとは異なる。
一実施形態において、第1の方向と直交する第2の方向において、第2の領域の複数の一方向ラインは、第1の領域の複数の一方向ラインとは重ならず、第3の領域の複数の一方向ラインが第1の領域の複数の一方向ラインまたは第2の領域の複数の一方向ラインとは重ならない。
一実施形態において、第1の方向と直交する第2の方向において、第2の領域の複数の一方向ラインのうち一部は、第1の領域の複数の一方向ラインと重なる。
一実施形態において、第2の領域の複数の一方向ラインと、第1の領域の複数の一方向ラインとは、交互嵌合している。
一実施形態において、第2の幅は第1の幅の1.5倍であり、第2のピッチは第1のピッチの1.5倍であり、第3の幅は第1の幅の3倍であり、第3のピッチは第1のピッチの3倍である。
一実施形態において、第1の領域はロジック領域であり、第2の領域はアナログ/IO領域であり、第3の領域はSRAM領域である。
一実施形態において、レイアウトの第1の領域、第2の領域または第3の領域はいずれも、段差、直交方向のラインまたはフックを含むラインを含まない。
ある実施形態において、集積回路のメタライゼーション層は、第1の幅および第1のピッチを持ち第1の方向に平行な、複数の一方向ワイヤを有する第1の領域を備える。当該メタライゼーション層はさらに、第2の幅および第2のピッチを持ち第1の方向に平行な、複数の一方向ワイヤを有する第2の領域を備え、第2の幅および第2のピッチはそれぞれ、第1の幅および第1のピッチとは異なる。当該メタライゼーション層はさらに、第3の幅および第3のピッチを持ち第1の方向に平行な、複数の一方向ワイヤを有する第3の領域を備え、第3の幅は、第1の幅および第2の幅とは異なり、第3のピッチは、第1のピッチおよび第2のピッチとは異なる。
一実施形態において、第1の方向と直交する第2の方向において、第2の領域の複数の一方向ワイヤは、第1の領域の複数の一方向ワイヤとは重ならず、第3の領域の複数の一方向ワイヤは、第1の領域の複数の一方向ワイヤ、または、第2の領域の複数の一方向ワイヤとは重ならない。
一実施形態において、第1の方向と直交する第2の方向において、第2の領域の複数の一方向ワイヤの一部は、第1の領域の複数の一方向ワイヤと重なる。
一実施形態において、第2の領域の複数の一方向ワイヤと、第1の領域の複数の一方向ワイヤとは、交互嵌合している。
一実施形態において、第2の幅は第1の幅の1.5倍であり、第2のピッチは第1のピッチの1.5倍であり、第3の幅は第1の幅の3倍であり、第3のピッチは第1のピッチの3倍である。
一実施形態において、第1の領域はロジック領域であり、第2の領域はアナログ/IO領域であり、第3の領域はSRAM領域である。
一実施形態において、レイアウトの前記第1の領域、前記第2の領域または前記第3の領域はいずれも、複数の段差、複数の直交方向のワイヤまたは複数のフックを含む複数のワイヤを含まない。
ある実施形態において、半導体構造用のパターンを形成する方法は、基板の上方に複数のラインで構成されるパターンを形成する段階を備える。複数のラインで構成されるパターンは、第1の幅および第1のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第1の領域を有する。複数のラインで構成されるパターンはさらに、第2の幅および第2のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第2の領域を有し、第2の幅および第2のピッチはそれぞれ、第1の幅および第1のピッチとは異なる。複数のラインで構成されるパターンはさらに、第3の幅および第3のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第3の領域を有し、第3の幅は、第1の幅および第2の幅とは異なり、第3のピッチは、第1のピッチおよび第2のピッチとは異なる。当該方法はさらに、電子ビームツールのスキャン方向に平行に複数のラインで構成されるパターンを提供するべく、電子ビームツールにおいて基板を位置合わせする段階を備え、スキャン方向は、前記第1の方向に直交する方向である。当該方法はさらに、スキャン方向に沿って基板をスキャンすることによって複数のラインで構成されるパターンについて複数のライン断絶部を設けるべく、複数のラインで構成されるパターンの内部または上方に複数のカットで構成されるパターンを形成する段階を備える。
一実施形態において、複数のカットで構成されるパターンを形成する段階は、3ビームスタッガードブランカアパーチャアレイを利用する段階を有する。
一実施形態において、複数のカットで構成されるパターンを形成する段階は、ユニバーサルカッターブランカアパーチャアレイを利用する段階を有する。
一実施形態において、複数のカットで構成されるパターンを形成する段階は、非ユニバーサルカッターブランカアパーチャアレイを利用する段階を有する。
一実施形態において、複数のラインで構成されるパターンを形成する段階は、ピッチ半減技術またはピッチ四分割技術を利用する段階を有する。
一実施形態において、複数のカットで構成されるパターンを形成する段階は、フォトレジスト材料の層のうち複数の領域を露光する段階を有する。

Claims (20)

  1. 集積回路のメタライゼーション層のレイアウトであって、前記レイアウトは、
    第1の幅および第1のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第1の領域と、
    第2の幅および第2のピッチを持ち前記第1の方向に平行な、複数の一方向ラインを有する第2の領域と、
    第3の幅および第3のピッチを持ち前記第1の方向に平行な、複数の一方向ラインを有する第3の領域と
    を備え、
    前記第2の幅および前記第2のピッチはそれぞれ、前記第1の幅および前記第1のピッチとは異なり、
    前記第3の幅は、前記第1の幅および前記第2の幅とは異なり、前記第3のピッチは前記第1のピッチおよび前記第2のピッチとは異なる
    レイアウト。
  2. 前記第1の方向と直交する第2の方向において、前記第2の領域の前記複数の一方向ラインは、前記第1の領域の前記複数の一方向ラインとは重ならず、前記第3の領域の前記複数の一方向ラインは、前記第1の領域の前記複数の一方向ラインまたは前記第2の領域の前記複数の一方向ラインとは重ならない
    請求項1に記載のレイアウト。
  3. 前記第1の方向と直交する第2の方向において、前記第2の領域の前記複数の一方向ラインのうち一部は、前記第1の領域の前記複数の一方向ラインと重なる
    請求項1または2に記載のレイアウト。
  4. 前記第2の領域の前記複数の一方向ラインと、前記第1の領域の前記複数の一方向ラインとは、交互嵌合している
    請求項3に記載のレイアウト。
  5. 前記第2の幅は前記第1の幅の1.5倍であり、前記第2のピッチは前記第1のピッチの1.5倍であり、前記第3の幅は前記第1の幅の3倍であり、前記第3のピッチは前記第1のピッチの3倍である
    請求項1から4のいずれか一項に記載のレイアウト。
  6. 前記第1の領域はロジック領域であり、前記第2の領域はアナログ/IO領域であり、前記第3の領域はSRAM領域である
    請求項1から5のいずれか一項に記載のレイアウト。
  7. 前記レイアウトの前記第1の領域、前記第2の領域または前記第3の領域はいずれも、段差、直交方向のラインまたはフックを含むラインを含まない
    請求項1から6のいずれか一項に記載のレイアウト。
  8. 集積回路のメタライゼーション層であって、前記メタライゼーション層は、
    第1の幅および第1のピッチを持ち第1の方向に平行な、複数の一方向ワイヤを有する第1の領域と、
    第2の幅および第2のピッチを持ち前記第1の方向に平行な、複数の一方向ワイヤを有する第2の領域と、
    第3の幅および第3のピッチを持ち前記第1の方向に平行な、複数の一方向ワイヤを有する第3の領域と
    を備え、
    前記第2の幅および前記第2のピッチはそれぞれ、前記第1の幅および前記第1のピッチとは異なり、
    前記第3の幅は、前記第1の幅および前記第2の幅とは異なり、前記第3のピッチは、前記第1のピッチおよび前記第2のピッチとは異なる
    メタライゼーション層。
  9. 前記第1の方向と直交する第2の方向において、前記第2の領域の前記複数の一方向ワイヤは、前記第1の領域の前記複数の一方向ワイヤとは重ならず、前記第3の領域の前記複数の一方向ワイヤは、前記第1の領域の前記複数の一方向ワイヤ、または、前記第2の領域の前記複数の一方向ワイヤとは重ならない
    請求項8に記載のメタライゼーション層。
  10. 前記第1の方向と直交する第2の方向において、前記第2の領域の前記複数の一方向ワイヤの一部は、前記第1の領域の前記複数の一方向ワイヤと重なる
    請求項8に記載のメタライゼーション層。
  11. 前記第2の領域の前記複数の一方向ワイヤと、前記第1の領域の前記複数の一方向ワイヤとは、交互嵌合している
    請求項10に記載のメタライゼーション層。
  12. 前記第2の幅は前記第1の幅の1.5倍であり、前記第2のピッチは前記第1のピッチの1.5倍であり、前記第3の幅は前記第1の幅の3倍であり、前記第3のピッチは前記第1のピッチの3倍である
    請求項8から11のいずれか一項に記載のメタライゼーション層。
  13. 前記第1の領域はロジック領域であり、前記第2の領域はアナログ/IO領域であり、前記第3の領域はSRAM領域である
    請求項8から12のいずれか一項に記載のメタライゼーション層。
  14. 前記メタライゼーション層の前記第1の領域、前記第2の領域または前記第3の領域はいずれも、複数の段差、複数の直交方向のワイヤまたは複数のフックを含む複数のワイヤを含まない
    請求項8から13のいずれか一項に記載のメタライゼーション層。
  15. 半導体構造用のパターンを形成する方法であって、
    基板の上方に複数のラインで構成されるパターンを形成する段階を備え、
    前記複数のラインで構成されるパターンは、
    第1の幅および第1のピッチを持ち第1の方向に平行な、複数の一方向ラインを有する第1の領域と、
    第2の幅および第2のピッチを持ち前記第1の方向に平行な、複数の一方向ラインを有する第2の領域と、
    第3の幅および第3のピッチを持ち前記第1の方向に平行な、複数の一方向ラインを有する第3の領域と、
    を有し、
    前記第2の幅および前記第2のピッチはそれぞれ、前記第1の幅および前記第1のピッチとは異なり、
    前記第3の幅は、前記第1の幅および前記第2の幅とは異なり、前記第3のピッチは、前記第1のピッチおよび前記第2のピッチとは異なり、
    前記方法はさらに、
    電子ビームツールのスキャン方向に平行に前記複数のラインで構成されるパターンを提供するべく、前記電子ビームツールにおいて前記基板を位置合わせする段階と、
    前記スキャン方向に沿って前記基板をスキャンすることによって前記複数のラインで構成されるパターンについて複数のライン断絶部を設けるべく、前記複数のラインで構成されるパターンの内部または上方に複数のカットで構成されるパターンを形成する段階と
    を備え、
    前記スキャン方向は、前記第1の方向に直交する方向である
    方法。
  16. 前記複数のカットで構成されるパターンを形成する段階は、3ビームスタッガードブランカアパーチャアレイを利用する段階を有する
    請求項15に記載の方法。
  17. 前記複数のカットで構成されるパターンを形成する段階は、ユニバーサルカッターブランカアパーチャアレイを利用する段階を有する
    請求項15に記載の方法。
  18. 前記複数のカットで構成されるパターンを形成する段階は、非ユニバーサルカッターブランカアパーチャアレイを利用する段階を有する
    請求項15に記載の方法。
  19. 前記複数のラインで構成されるパターンを形成する段階は、ピッチ半減技術またはピッチ四分割技術を利用する段階を有する
    請求項15から18のいずれか一項に記載の方法。
  20. 前記複数のカットで構成されるパターンを形成する段階は、フォトレジスト材料の層のうち複数の領域を露光する段階を有する
    請求項15から19のいずれか一項に記載の方法。
JP2016566227A 2014-06-13 2014-12-19 電子ビームによる一方向の層上金属 Active JP6522662B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462012220P 2014-06-13 2014-06-13
US62/012,220 2014-06-13
PCT/US2014/071645 WO2015191102A1 (en) 2014-06-13 2014-12-19 Unidirectional metal on layer with ebeam

Publications (2)

Publication Number Publication Date
JP2017519356A true JP2017519356A (ja) 2017-07-13
JP6522662B2 JP6522662B2 (ja) 2019-05-29

Family

ID=54834045

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016566227A Active JP6522662B2 (ja) 2014-06-13 2014-12-19 電子ビームによる一方向の層上金属

Country Status (7)

Country Link
US (1) US10014256B2 (ja)
EP (1) EP3155648A4 (ja)
JP (1) JP6522662B2 (ja)
KR (1) KR102395478B1 (ja)
CN (1) CN106463352B (ja)
TW (1) TWI567575B (ja)
WO (1) WO2015191102A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190032225A (ko) * 2017-09-18 2019-03-27 아이엠에스 나노패브릭케이션 게엠베하 제한된 배치 그리드를 이용하여 타겟을 조사하는 방법
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395883B2 (en) 2016-03-31 2019-08-27 Intel Corporation Aperture size modulation to enhance ebeam patterning resolution
WO2017204821A1 (en) * 2016-05-27 2017-11-30 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (beol) spacer-based interconnects
WO2018118092A1 (en) 2016-12-23 2018-06-28 Intel Corporation Advanced lithography and self-assembled devices
US10347579B2 (en) * 2017-01-19 2019-07-09 Qualcomm Incorporated Reducing tip-to-tip distance between end portions of metal lines formed in an interconnect layer of an integrated circuit (IC)
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US10916498B2 (en) * 2018-03-28 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for logic circuit
US11842961B2 (en) 2021-08-26 2023-12-12 International Business Machines Corporation Advanced metal interconnects with a replacement metal

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0529456A (ja) * 1991-07-22 1993-02-05 Hitachi Ltd 半導体集積回路装置
JP2002064043A (ja) * 2000-08-17 2002-02-28 Toshiba Corp 半導体装置とその製造方法および半導体装置パターン露光用マスク
JP2002175969A (ja) * 2000-12-07 2002-06-21 Hitachi Ltd パターン検証方法及びデータ処理システム
JP2006303500A (ja) * 2005-04-19 2006-11-02 Samsung Electronics Co Ltd 微細ピッチのハードマスクを用いた半導体素子の微細パターン形成方法
JP2009146966A (ja) * 2007-12-12 2009-07-02 Toshiba Corp 半導体装置の製造方法
JP2012054418A (ja) * 2010-09-01 2012-03-15 Toshiba Corp 光源形状算出方法
JP2012178437A (ja) * 2011-02-25 2012-09-13 Canon Inc 描画装置、描画方法、および、物品の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5394772A (en) 1977-01-31 1978-08-19 Cho Lsi Gijutsu Kenkyu Kumiai System for compressing data in charged beam exposing device
US5294800A (en) 1992-07-31 1994-03-15 International Business Machines Corporation E-beam control data compaction system and method
JP3869128B2 (ja) 1998-09-11 2007-01-17 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US7335965B2 (en) * 1999-08-25 2008-02-26 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
GB2413694A (en) * 2004-04-30 2005-11-02 Ims Nanofabrication Gmbh Particle-beam exposure apparatus
US7892982B2 (en) 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7704653B2 (en) 2006-10-25 2010-04-27 Kla-Tencor Corporation Method of data encoding, compression, and transmission enabling maskless lithography
US20100187611A1 (en) * 2009-01-27 2010-07-29 Roberto Schiwon Contacts in Semiconductor Devices
US8247904B2 (en) * 2009-08-13 2012-08-21 International Business Machines Corporation Interconnection between sublithographic-pitched structures and lithographic-pitched structures
US20120268978A1 (en) * 2011-02-04 2012-10-25 Noboru Shibata Semiconductor memory device in which capacitance between bit lines is reduced, and method of manufacturing the same
US8524427B2 (en) * 2011-04-14 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography system and method for improving throughput
JP5963139B2 (ja) 2011-10-03 2016-08-03 株式会社Param 電子ビーム描画方法および描画装置
TWI517247B (zh) * 2012-04-06 2016-01-11 力晶科技股份有限公司 一種半導體線路結構暨其製程
TWI545391B (zh) * 2012-05-11 2016-08-11 聯華電子股份有限公司 光罩及形成圖案的方法
US9254998B2 (en) * 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with a capping substrate

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0529456A (ja) * 1991-07-22 1993-02-05 Hitachi Ltd 半導体集積回路装置
JP2002064043A (ja) * 2000-08-17 2002-02-28 Toshiba Corp 半導体装置とその製造方法および半導体装置パターン露光用マスク
JP2002175969A (ja) * 2000-12-07 2002-06-21 Hitachi Ltd パターン検証方法及びデータ処理システム
JP2006303500A (ja) * 2005-04-19 2006-11-02 Samsung Electronics Co Ltd 微細ピッチのハードマスクを用いた半導体素子の微細パターン形成方法
JP2009146966A (ja) * 2007-12-12 2009-07-02 Toshiba Corp 半導体装置の製造方法
JP2012054418A (ja) * 2010-09-01 2012-03-15 Toshiba Corp 光源形状算出方法
JP2012178437A (ja) * 2011-02-25 2012-09-13 Canon Inc 描画装置、描画方法、および、物品の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190032225A (ko) * 2017-09-18 2019-03-27 아이엠에스 나노패브릭케이션 게엠베하 제한된 배치 그리드를 이용하여 타겟을 조사하는 방법
JP2019071411A (ja) * 2017-09-18 2019-05-09 アイエムエス ナノファブリケーション ゲーエムベーハー 限定的位置付けグリッドを用いるターゲットの照射方法
JP7178841B2 (ja) 2017-09-18 2022-11-28 アイエムエス ナノファブリケーション ゲーエムベーハー 限定的位置付けグリッドを用いるターゲットの照射方法
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
KR102633436B1 (ko) 2017-09-18 2024-02-15 아이엠에스 나노패브릭케이션 게엠베하 제한된 배치 그리드를 이용하여 타겟을 조사하는 방법

Also Published As

Publication number Publication date
KR20170015885A (ko) 2017-02-10
EP3155648A1 (en) 2017-04-19
KR102395478B1 (ko) 2022-05-09
JP6522662B2 (ja) 2019-05-29
CN106463352B (zh) 2020-06-19
WO2015191102A1 (en) 2015-12-17
EP3155648A4 (en) 2018-03-07
US20170077029A1 (en) 2017-03-16
US10014256B2 (en) 2018-07-03
CN106463352A (zh) 2017-02-22
TWI567575B (zh) 2017-01-21
TW201606536A (zh) 2016-02-16

Similar Documents

Publication Publication Date Title
US10747115B2 (en) Cross scan proximity correction with ebeam universal cutter
US10067416B2 (en) Ebeam three beam aperture array
JP6522662B2 (ja) 電子ビームによる一方向の層上金属
KR102377771B1 (ko) E 빔 스태거형 빔 애퍼처 어레이
KR102373197B1 (ko) E 빔 비범용 커터
US10578970B2 (en) Ebeam universal cutter
KR102387713B1 (ko) 즉각적인 e 빔 정렬
JP6555619B2 (ja) 電子ビームスループットのためのデータ削減
KR102386548B1 (ko) 전자 빔(e 빔) 직접 기입 시스템을 위한 코너 라운딩 보정

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180918

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190424

R150 Certificate of patent or registration of utility model

Ref document number: 6522662

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250