JP2017101243A - 高温静電チャック接着剤 - Google Patents

高温静電チャック接着剤 Download PDF

Info

Publication number
JP2017101243A
JP2017101243A JP2016248845A JP2016248845A JP2017101243A JP 2017101243 A JP2017101243 A JP 2017101243A JP 2016248845 A JP2016248845 A JP 2016248845A JP 2016248845 A JP2016248845 A JP 2016248845A JP 2017101243 A JP2017101243 A JP 2017101243A
Authority
JP
Japan
Prior art keywords
adhesive layer
substrate support
electrostatic chuck
adhesive
base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016248845A
Other languages
English (en)
Inventor
ジェニファー ワイ サン
Y Sun Jennifer
ジェニファー ワイ サン
セン サチ
Thach Senh
セン サチ
レン グアン デュアン
Duan Renguan
レン グアン デュアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017101243A publication Critical patent/JP2017101243A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J201/00Adhesives based on unspecified macromolecular compounds
    • C09J201/02Adhesives based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J11/00Features of adhesives not provided for in group C09J9/00, e.g. additives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J11/00Features of adhesives not provided for in group C09J9/00, e.g. additives
    • C09J11/02Non-macromolecular additives
    • C09J11/04Non-macromolecular additives inorganic
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J183/00Adhesives based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Adhesives based on derivatives of such polymers
    • C09J183/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2227Oxides; Hydroxides of metals of aluminium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/28Nitrogen-containing compounds
    • C08K2003/282Binary compounds of nitrogen with aluminium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/28Nitrogen-containing compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/34Accessory or component

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Adhesives Or Adhesive Processes (AREA)

Abstract

【課題】デバイスを基板サポート部品と接着層によって結合する静電チャック(ESC)で、高温プロセスによって性能が劣化しない、接着層の断片から粒子汚染を引き起こさない接着剤の提供。
【解決手段】フィラーが内部に分散したシリコンベースの高分子材料のマトリックスを含む基板サポート部品の接着用接着剤。シリコンベースの高分子材料は、低分子量(LMW)の含有量ΣD3〜D10が約500ppm未満であり、フィラーは、接着層の体積で約50〜約70%を構成し、例えば、約10ナノメートル〜約10ミクロンの直径を有する酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化イットリウム(Y)、又はそれらの組み合わせの粒子である。
【選択図】図2

Description

分野
本発明の実施形態は、概して基板処理装置に関する。
背景
静電チャック(ESC)は、例えば、接着層によって基板サポート部品に結合されるこ
とができる。デバイスのフィーチャーサイズが縮小し続けるにつれて、そのようなデバイ
スが作られるプロセスは、ますます高温プロセスを必要とする。本発明者らは、通常ES
Cを接着するのに使用される従来の接着層は、高温プロセスによって性能が劣化する可能
性があり、ESCが接着している部品から剥離する可能性があることに気付いた。このよ
うな剥離は、プロセスの均一性の問題だけでなく、接着層の断片から粒子汚染を引き起こ
す可能性がある。
また、より小さいフィーチャーサイズのデバイスを作るために使用されている又は開発
中の多くのプロセスでは、使用するRF電力も増加し、これは更に上述の温度の問題を悪
化させる可能性があり、接着層を浸食する可能性もある。
従って、本発明者らは、ESCチャックと基板サポート部品の間の接着を改善する方法
及び装置を提供する。
概要
基板サポート部品に静電チャックを接着するための方法及び装置が、本明細書内で提供
される。いくつかの実施形態において、基板サポート部品の接着用接着剤は、フィラーが
内部に分散したシリコンベースの高分子材料のマトリックスを含んでもよい。シリコンベ
ースの高分子材料は、低分子量(LMW)の含有量ΣD3〜D10が約500ppm未満
の分子量を有するポリジメチルシロキサン(PDMS)構造であってもよい。いくつかの
実施形態において、フィラーは、接着層の体積で約50〜約70%を構成してもよい。い
くつかの実施形態において、フィラーは、酸化アルミニウム(Al)、窒化アルミ
ニウム(AlN)、酸化イットリウム(Y)、又はそれらの組み合わせの粒子を含
んでもよい。いくつかの実施形態において、フィラーは、約10ナノメートル〜約10ミ
クロンの直径を有する粒子を含んでもよい。
いくつかの実施形態において、基板サポートは、ベースと、フィラーが内部に分散した
シリコンベースの高分子材料のマトリックスを含む接着層と、ベース及び接着層の上に配
置された静電チャックとを含み、接着層はベースと静電チャックを接着することができる
。いくつかの実施形態では、シリコンベースの高分子材料は、繰り返しジメチルシロキサ
ンユニットを有するポリジメチルシロキサン(PDMS)構造を含んでもよい。いくつか
の実施形態では、マトリックスは、低分子量(LMW)の含有量ΣD3〜D10が約50
0ppm未満の分子量を有する高分子材料でできていてもよい。いくつかの実施形態では
、接着層は、摂氏約120度を超える温度で使用可能であるかもしれない。
いくつかの実施形態において、基板サポートを静電チャックに接着する方法は、フィラ
ーが内部に分散するシリコンベースの高分子材料のマトリックスを含む接着層を基板サポ
ートベースの上に堆積させるステップと、静電チャックを接着層によって基板サポートベ
ースに接着するステップを含んでもよい。接着層は、本明細書内で開示された接着剤配合
物のいずれであってもよい。他の及び更なる実施形態を以下に説明する。
本発明の上述した構成が、より詳細に理解することができるように、上記で簡単に要約
したより特定の記述が、実施形態によって参照されてもよく、いくつかの実施形態は添付
図面に示されている。しかしながら、添付図面は、本発明の典型的な実施形態を示してい
るに過ぎず、従ってその範囲を制限していると解釈されるべきではなく、本発明に対して
、他の均等に有効な実施形態を含み得ることに留意すべきである。
本発明のいくつかの実施形態に係るプロセスキットを内部に配置したエッチングリアクタの概略側面図を示す。 本発明のいくつかの実施形態に係る基板サポートの部分側面図を示す。 基板サポートを作る方法のフローチャートを示す。 図3に示される方法に係る基板サポートの製造段階を示す。
図面は、明瞭にするために簡素化されており、比例して描かれていない。理解を促進す
るために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用して
いる。一実施形態のいくつかの要素を他の実施形態に有益に組み込んでもよいと理解され
る。
詳細な説明
基板サポート部品に静電チャックを接着するための方法及び装置が、本明細書内で提供
される。本発明の方法及び装置は、基板サポートに結合された静電チャック(ESC)、
及び、例えば、摂氏約120度を超える温度で、又はいくつかの実施形態では最高摂氏約
180度の温度を有するプロセス環境で基板サポートを有利に使用可能にする同製造手段
を提供する。ESCは、高い熱伝導率、高いラップせん断ひずみ、高い引張りひずみ、低
ガス放出、高純度、及び/又はプラズマ浸食に対する高い耐性を有利に提供することがで
きる接着剤を用いて基板サポートのベースに結合することができる。
本発明に係る基板サポートは、プロセスチャンバ内に配置されるように構成してもよい
。例えば、図1は、本明細書内で議論されるような本発明の実施形態を実施するために使
用できる種類の例示的なエッチングリアクタ102の概略図を示す。リアクタ102は、
単独で、又は、統合化半導体基板処理システム又はクラスタツール(図示せず、カリフォ
ルニア州サンタクララのアプライドマテリアルズ社(Applied Material
s,Inc.)から入手可能なCENTURA(登録商標)統合化半導体ウェハ処理シス
テムなど)の処理モジュールとして、使用可能である。適当なエッチングリアクタ102
の例としては、半導体装置のDPS(登録商標)ライン(例えば、DPS(登録商標)、
DPS(登録商標)II、DPS(登録商標)AE、又はDPS(登録商標)G3ポリエ
ッチャー等)、半導体装置のADVANTEDGE(登録商標)ライン(例えば、Adv
antEdge、AdvantEdge G3)、又は同様にアプライドマテリアルズか
ら入手可能な他の半導体装置(例えば、ENABLER(登録商標)、E−MAX(登録
商標)、又は同様の装置)を含む。半導体装置の上記リストは単なる例示であり、本明細
書内で提供される開示に従って、他のエッチングリアクタ、及び非エッチング装置(CV
Dリアクタ、又は他の半導体処理装置等)に変更されてもよい。
リアクタ102は、電気的接地134と、チャンバ壁130の外部に位置する少なくと
も1つのソレノイドセグメント112に接続される導電性チャンバ壁130を有するプロ
セスチャンバ110を含む。チャンバ壁130は、チャンバ110の洗浄を促進するセラ
ミックスライナー131を含む。各ウェハが処理された後、エッチングプロセスの副生成
物及び残留物は、ライナー131から容易に除去される。ソレノイドセグメント112は
、少なくとも5Vを生成可能なDC電源154によって制御される。プロセスチャンバ1
10は、シャワーヘッド132から離間される基板サポート116を含む。基板サポート
116は、シャワーヘッド132の下に基板100を保持する静電チャック126を含む
。シャワーヘッド132は、複数のガス分布ゾーンを含んでもよく、これによって様々な
ガスが特定のガス分布勾配を用いてチャンバ110に供給可能となる。シャワーヘッド1
32は、基板サポート116に対向する上部電極128に取り付けられる。電極128は
、RF電源118に結合される。
静電チャック126は、バイアス電源122に結合されたマッチングネットワーク12
4を介して、直流電源120及び基板サポート116によって制御される。任意で、電源
122は、DC又はパルスDC電源であってもよい。上部電極128は、インピーダンス
変換器119(例えば、1/4波長マッチングスタブ)を介して高周波(RF)電源11
8に結合される。バイアス電源122は、一般的に、周波数を50kHzから13.56
MHzまで調整可能で、0〜5000ワットの電力を有するRF信号を生成可能である。
電源118は、一般的に、周波数を約160kHzに調整可能で、約0〜2000ワット
の電力を有するRF信号を生成可能である。チャンバ110の内部は、真空ポンプ136
にスロットルバルブ127を介して結合される高真空容器である。反応性イオンエッチン
グ(RIE)チャンバ、及び電子サイクロトロン共鳴(ECR)チャンバ等を含むプラズ
マエッチングチャンバの他の形態が、発明を実施するために使用されてもよい。
静電チャック126は、接着層を介して基板サポート116に結合される。静電チャッ
ク126は、誘電体材料(例えば、セラミックス等)を含み、内部に導電性のワイヤメッ
シュ(図示せず)を配置してもよい。ワイヤメッシュは、静電チャック126の表面に基
板100を固定する手段を提供するためにDC電源120に結合されてもよい。
接着層は、図2に関して、以下で詳細に説明される。本発明の接着層によって形成され
る接着は有利にも強靭であり、これによって基板サポートが摂氏約120度を超える温度
、いくつかの実施形態では最大180度又はそれ以上の温度を有するプロセス環境で使用
することができる。更に、いくつかの実施形態では、接着層は、高プラズマ密度(例えば
、およそE10〜E12イオン/cmまでのプラズマ密度)を有するプロセス環境に耐
えることができる。
基板サポート116は、基板サポート116の部分側面図を示す図2で更に詳しく示さ
れる。基板サポート116は、ベース202と、ベース202の上に配置された接着層2
04を更に含み、接着層204は、ベース202と静電チャック126との間の接着を形
成する。
ベース202は、基板サポート116に1以上の機能を提供することができる。例えば
、ベース202は、静電チャック126を上に保持するためのサポートを提供することが
できる。その代わりに、又はそれと組み合わせて、ベース202は、静電チャック126
の上に配置された基板100から熱を除去するためのヒートシンクとして作用することが
できる。ベース202は、上述した機能を提供するために、又はプラズマ及び/又は半導
体処理環境との互換性を確保するために、必要に応じて任意の適切な材料を含んでもよい
。いくつかの実施形態では、ベース202は、アルミニウム(Al)、ステンレス鋼、ア
ルミニウム−セラミックス複合材料、又はこれらの組み合わせから作られる。
接着層204はベース202の上に配置され、ベース202と静電チャック126との
間の接着を形成する。接着層204は、約4〜約15milの間の厚さを有することができ
る。一般的に、接着層は、約0.5W/mKよりも大きい熱伝導率を有することができる
。接着層204は、現在使用されている接着材料(例えば、マサチューセッツ州ウォーバ
ーンのパーカーハネフィン社(Parker Hannifin Corp.)の一部門
であるコメックス(Chomehcs)から入手可能なTHERMATTACH(登録商
標)T412)と少なくとも同等又はそれに勝る、ラップせん断ひずみ、引張りひずみ、
耐浸食性及びガス放出特性を有することができる。更に、接着層204は高バルク純度(
>99%)を有し、処理中に基板100に金属汚染を制限してもよい。更に、接着層20
4は、ハロゲン含有化学薬品(例えば、臭化水素(HBr)、塩素(Cl)、トリフル
オロメタン(CHF)、テトラフルオロメタン(CF)、又はそれらの組み合わせ)
等の反応性化学薬品に耐性を持つことが可能である。
接着層204は、フィラーが内部に分散したシリコンベースの高分子材料のマトリック
スを含んでもよい。いくつかの実施形態では、マトリックスは、ポリジメチルシロキサン
(PDMS)又は他の適当なシリコン材料を含む。マトリックスは、直鎖ポリマー、分岐
ポリマー、架橋ポリマー、又はそれらの組み合わせから形成可能である。更に、所望の物
性(せん断及び引張りひずみ等)を達成するために、又は接着層のガス放出を制限するた
めに、マトリックスは、低分子量(LMW)の含有量ΣD〜D10(例えば、D〜D
10のすべての成分の合計。ただし、D〜D10は、繰り返しジメチルシロキサンユニ
ットを指す。)が、いくつかの実施形態では約200ppm未満、又はいくつかの実施形
態では約500ppm未満の分子量を有する高分子材料でできていてもよい。
フィラーは、接着層204のマトリックスに分散できる。フィラーは、例えば、機械的
又は熱的特性(熱伝導率など)を高めるために利用できる。フィラーは、接着層204の
体積で約50〜約70%を構成してもよい。一実施形態では、フィラーは、接着層204
の体積で約67%である。フィラーは、粒子(酸化アルミニウム(Al)、窒化ア
ルミニウム(AlN)、酸化イットリウム(Y)、又はそれらの組み合わせを含む
粒子等)を含んでもよい。粒子は、直径が約10ナノメートル〜約10ミクロン、又は約
100ナノメートル〜約3ミクロンの範囲であってもよい。
任意で、基板サポート116は、追加部品(基板100にRFバイアスを供給するため
の陰極206、又はベース202の周りに配置されたバッフルアセンブリ208等)を含
むことができる。バッフルアセンブリ208は、プロセスキット、又はプロセスキットシ
ールド等を保持するように構成されてもよい。ギャップ210が、静電チャック126、
接着層204、及びベース202の周縁端と、基板サポート116の任意選択部品の間に
存在してもよい。いくつかの実施形態では、シリコンインサートがギャップ210の上に
配置され、これによって反応性ガス又はプラズマが処理中にギャップ210に入るのを制
限してもよい。更に、基板サポート116は、例えば、基板サポート116の上面に対し
て基板100を上下動させるために使用可能なリフトピン(図示せず)を収容するための
、ベース202、接着層204、及び静電チャック126を貫通して配置される穴(図示
せず)を含んでもよい。
図1に戻って、運転中、基板100は基板サポート116上に配置される。チャンバ内
部は、真空に近い環境まで減圧され、点火時にプラズマを生成するガス150(例えば、
アルゴン)が、ガスパネル138からシャワーヘッド132を通ってプロセスチャンバ1
10へ供給される。ガス150は、RF電源118から上部電極128(陽極)に電力を
印加することにより、プロセスチャンバ110内で点火されプラズマ152となる。磁場
がソレノイドセグメント112を介してプラズマ152に印加され、基板サポート116
はバイアス電源122から電力を印加することによってバイアスが掛けられている。基板
100の処理中に、エッチングチャンバ110の内部の圧力は、ガスパネル138及びス
ロットルバルブ127を用いて制御される。プラズマ152は、例えば、基板100内で
構造(ビア又はトレンチ等)をエッチングするために使用できる。
チャンバ壁130の温度は、壁の内部及び周りに配置される液体含有コンジット(図示
せず)を用いて制御される。更に、基板100の温度は、クーラントを循環させるために
内部に形成されたチャネルを有する冷却板(図示せず)を介して基板サポート116の温
度を調節することによって制御される。更に、裏面側のガス(例えば、ヘリウム(He)
ガス)は、基板100の裏面側及び静電チャック126の表面内の溝(図示せず)によっ
て形成されるチャネル内にガス源148から供給される。ヘリウムガスは、基板サポート
116と基板100との間の熱伝達を促進するために使用される。静電チャック126は
、チャック本体内の抵抗ヒータ(図示せず)によって定常状態の温度まで加熱され、ヘリ
ウムガスは、基板100の均一加熱を促進する。チャック126の熱制御を使用して、基
板100は摂氏10〜500度の温度に維持される。
コントローラ140が、上記のようなチャンバ110の制御を促進するために使用され
てもよい。コントローラ140は、様々なチャンバ及びサブプロセッサを制御するために
、工業環境で使用される汎用コンピュータプロセッサの何れかの形態の1つであってもよ
い。コントローラ140は、中央演算処理装置(CPU)144、メモリ142、及びC
PU144用のサポート回路146を含み、エッチングプロセスチャンバ110の様々な
部品に結合され、これによってエッチングプロセスの制御を促進する。メモリ142は、
CPU144に結合される。メモリ142又はコンピュータ可読媒体は、容易に利用可能
なメモリ(ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロ
ッピー(商標名)ディスク、ハードディスク、又は、ローカル又はリモートの他の何れか
の形態のデジタルストーレッジ等)のうちの1以上であることが可能である。サポート回
路146はCPU144に結合され、従来の方法でプロセッサを支援(サポート)する。
これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路、及びサブシステム
等を含む。ソフトウェアルーチン104は、CPU144によって実行されると、リアク
タにプロセス(例えば、エッチングプロセス等)を実行させ、一般的にメモリ142内に
格納される。また、ソフトウェアルーチン104は、CPU144によって制御されるハ
ードウェアから離れて配置される第2のCPU(図示せず)によって格納及び/又は実行
されてもよい。
基板サポートを製造するための方法300のフローチャートが、図3に示される。方法
300は、図4A〜Cに示される基板サポートの製造段階に関して以下に説明される。方
法300は、図4Aに示されるように、ベース402を提供する302から始まる。ベー
ス402は、前述のベース202に構造及び機能が似ている。
図4Bに示されるように、304において、接着層404がベース402の上に堆積さ
れる。接着層404は、前述の接着層404に構造及び機能が似ている。接着層404は
、予め成形されたシートとして、又は液体として堆積可能である。例えば、液体プロセス
を用いるとき、マトリックス材料(PDMS等)及びフィラー(酸化アルミニウム(Al
)粒子等)が共に混合され、例えば脱気によって脱ガスされ、これによって捕捉さ
れたガス等を除去してもよい。その後、触媒が、マトリックス及びフィラーの混合物に添
加されてもよい。触媒は、例えば、白金触媒又はマトリックス材料の架橋を促進する他の
適切な触媒材料であってもよい。マトリックス材料及び触媒は、約5:1〜約20:1の
質量比を有しており、より低い質量比は、接着プロセス中にマトリックス材料の架橋の増
加を引き起こす可能性がある。その後、混合物は、例えば脱気によって再び脱ガスされて
もよく、ベース402の上に液体状に堆積されてもよい。予め成形されたシートは、接着
剤のシートがまずシートを成形するために事前に硬化されてから、ベース402の上に堆
積可能となることを除いて、液体プロセスと同様の方法によって形成可能である。いくつ
かの実施形態では、シリコンベースの高分子材料の混合物を加熱又は蒸留して、これによ
って混合物から低分子量成分(例えば、D〜D10ユニット)の少なくともいくつかを
除去してもよい。
図4Cに示されるように、306において、静電チャック406は、接着層を介してベ
ース402に接着される。接着プロセスは、圧力容器(例えば、オートクレーブ炉等)内
で行われてもよい。いくつかの実施形態では、圧力容器内の圧力は、約50〜200ps
iである。あるいはまた、接着プロセスは、ベース402及び/又は接着層404を加熱
する間に圧力を印加するために、例えば、重力、クランプ、又はねじ止め等によって、静
電チャック406とベース402の間に圧力を印加することによって実行され、これによ
ってベース402と静電チャック406の間の接着を形成してもよい。いくつかの実施形
態では、接着プロセスの間、約0.5〜約14.5psiの圧力がクランプ等によって印
加される。
いくつかの実施形態では、ベース402は、接着層404を塗る前に予熱されてもよい
。ベース402の予熱温度は、摂氏約50〜110度の間であってもよい。予熱温度は、
一度到達したならば、接着プロセスを通して維持されてもよい。任意選択で、静電チャッ
ク406もまた、圧力を印加する前に予熱されてもよい。方法300は一般的に、接着層
が静電チャック406とベース402との間に接着を形成すると終了する。いくつかの実
施形態では、圧力と熱が約3〜約8時間印加され、接着が形成される。
任意で、接着形成後処理は、接着温度を摂氏約10〜約30度超える温度での適当な時
間の焼成を含み、これによって接着層404から低分子量残留物の除去を促進してもよい
任意で、いくつかの実施形態において、プライマーが使用され、接着層404が、例え
ば静電チャック406及び/又はベース402の表面に接着するのを促進させてもよい。
プライマーは、例えば、ミシガン州ミッドランドのダウコーニング社(Dow Corn
ing Corp.)から入手可能な金属オルガノシラン(DC1200等)を含むこと
ができる。プライマーは、上記の方法を用いて接着層404を堆積し接着する前に、ベー
ス402及び/又は静電チャック406の接着面に塗られ硬化されてもよい。
このように、基板サポートに静電チャックを接着するための方法及び装置が、本明細書
内で提供される。本発明の方法及び装置は、基板サポートと、摂氏約120度を超えるプ
ロセス環境で基板サポートを有利に使用可能とする同製造手段を提供する。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発
明の基本的範囲を逸脱することなく創作することができる。

Claims (1)

  1. フィラーが内部に分散するシリコンベースの高分子材料のマトリックスを含み、前記シ
    リコンベースの高分子材料は、低分子量(LMW)の含有量ΣD3〜D10が約500p
    pm未満の分子量を有するポリジメチルシロキサン(PDMS)構造を含む基板サポート
    部品の接着用接着剤。
JP2016248845A 2008-12-19 2016-12-22 高温静電チャック接着剤 Pending JP2017101243A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13929708P 2008-12-19 2008-12-19
US61/139,297 2008-12-19
US12/640,496 US9520314B2 (en) 2008-12-19 2009-12-17 High temperature electrostatic chuck bonding adhesive
US12/640,496 2009-12-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014208966A Division JP2015061913A (ja) 2008-12-19 2014-10-10 高温静電チャック接着剤

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019203024A Division JP7059236B2 (ja) 2008-12-19 2019-11-08 高温静電チャック接着剤

Publications (1)

Publication Number Publication Date
JP2017101243A true JP2017101243A (ja) 2017-06-08

Family

ID=42264875

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2011542474A Pending JP2012512953A (ja) 2008-12-19 2009-12-18 高温静電チャック接着剤
JP2014208966A Pending JP2015061913A (ja) 2008-12-19 2014-10-10 高温静電チャック接着剤
JP2016248845A Pending JP2017101243A (ja) 2008-12-19 2016-12-22 高温静電チャック接着剤
JP2019203024A Active JP7059236B2 (ja) 2008-12-19 2019-11-08 高温静電チャック接着剤

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2011542474A Pending JP2012512953A (ja) 2008-12-19 2009-12-18 高温静電チャック接着剤
JP2014208966A Pending JP2015061913A (ja) 2008-12-19 2014-10-10 高温静電チャック接着剤

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019203024A Active JP7059236B2 (ja) 2008-12-19 2019-11-08 高温静電チャック接着剤

Country Status (7)

Country Link
US (2) US9520314B2 (ja)
JP (4) JP2012512953A (ja)
KR (3) KR101693806B1 (ja)
CN (1) CN102257608B (ja)
SG (1) SG171407A1 (ja)
TW (1) TWI468484B (ja)
WO (1) WO2010080590A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018196407A (ja) * 2017-05-22 2018-12-13 株式会社大一商会 遊技機
JP2018196411A (ja) * 2017-05-22 2018-12-13 株式会社大一商会 遊技機
JP2019165184A (ja) * 2018-03-20 2019-09-26 住友大阪セメント株式会社 静電チャック装置

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
DE102007043030B4 (de) * 2007-09-11 2009-11-12 M & H Inprocess Messtechnik Gmbh Werkzeugaufnahme sowie Messtaster mit einer Werkzeugaufnahme
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120118510A1 (en) * 2010-11-15 2012-05-17 Applied Materials, Inc. Method for debonding components in a chamber
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9267605B2 (en) 2011-11-07 2016-02-23 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
JP6110284B2 (ja) * 2013-11-21 2017-04-05 日本特殊陶業株式会社 静電チャック
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
KR102235605B1 (ko) * 2014-10-08 2021-04-06 삼성디스플레이 주식회사 증착 장치 및 이를 이용한 증착 방법
CN107258012B (zh) * 2015-03-20 2021-04-16 应用材料公司 以高温聚合物接合剂接合至金属基底的陶瓷静电夹盘
US10629466B2 (en) * 2015-03-24 2020-04-21 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device including a heating member
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
US10688750B2 (en) 2017-10-03 2020-06-23 Applied Materials, Inc. Bonding structure of E chuck to aluminum base configuration
US10847402B2 (en) 2018-04-02 2020-11-24 Applied Materials, Inc. Bond protection around porous plugs
US11456161B2 (en) 2018-06-04 2022-09-27 Applied Materials, Inc. Substrate support pedestal
JP7090481B2 (ja) * 2018-06-15 2022-06-24 新光電気工業株式会社 静電チャック及びその製造方法
US10847400B2 (en) * 2018-12-28 2020-11-24 Applied Materials, Inc. Adhesive-less substrate bonding to carrier plate
KR102530198B1 (ko) * 2019-01-08 2023-05-09 한국생산기술연구원 복합 유전체층의 제조방법 및 그를 포함하는 전기접착식 그리퍼의 제조방법
WO2020242661A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate support carrier with improved bond layer protection
KR102116371B1 (ko) * 2019-09-19 2020-05-28 주식회사티티엘 Pdms 접합장치
KR102290115B1 (ko) 2020-01-22 2021-08-17 한국생산기술연구원 복합 유전체층의 제조방법 및 그를 포함하는 전기접착식 그리퍼의 제조방법
US11940340B2 (en) * 2020-05-13 2024-03-26 Hutchinson Technology Incorporated Integrated sensors

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03157474A (ja) * 1989-11-15 1991-07-05 Toray Dow Corning Silicone Co Ltd 接着剤
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
JP2002299533A (ja) * 2001-03-29 2002-10-11 Denki Kagaku Kogyo Kk 放熱スペーサー
JP2005129921A (ja) * 2003-10-01 2005-05-19 Shin Etsu Polymer Co Ltd 薄板用固定治具
JP2006165160A (ja) * 2004-12-06 2006-06-22 Shin Etsu Chem Co Ltd 静電チャック
JP2007326922A (ja) * 2006-06-07 2007-12-20 Shin Etsu Chem Co Ltd 艶消し用液状オルガノポリシロキサン組成物及び艶消し硬化物並びに表面光沢度低減方法
JP2008258254A (ja) * 2007-04-02 2008-10-23 Sumitomo Electric Ind Ltd 熱伝導性接着剤及びそれを用いた放熱モジュール、電力変換装置

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3445426A (en) * 1966-08-22 1969-05-20 Dow Corning Pentavalent silane and tetravalent boron catecholates as polymerization catalysts
US3518221A (en) * 1967-10-30 1970-06-30 Monsanto Co Reinforcing fillers in a matrix of two thermosetting resins
US3539655A (en) * 1968-03-21 1970-11-10 Union Carbide Corp Siloxane-polyarylene polyether copolymers
US3536657A (en) * 1968-03-21 1970-10-27 Union Carbide Corp Mixtures of polyarylene polyethers and siloxane-polyarylene polyether copolymers
US3576387A (en) * 1970-03-19 1971-04-27 Chomerics Inc Heat shrinkable electromagnetic shield for electrical conductors
US4370358A (en) * 1980-09-22 1983-01-25 General Electric Company Ultraviolet curable silicone adhesives
US4591622A (en) * 1984-10-29 1986-05-27 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product thereof
JPS61157569A (ja) * 1984-12-28 1986-07-17 Shin Etsu Polymer Co Ltd 熱伝導性接着組成物
US4698406A (en) * 1986-11-04 1987-10-06 Dow Corning Corporation Curable organopolysiloxane composition
US4950563A (en) * 1988-12-27 1990-08-21 International Fuel Cells Phosphoric acid fuel cells with improved corrosion resistance
US5182143A (en) * 1990-02-28 1993-01-26 Lord Corporation Layered sol-gel coatings
US5256562A (en) * 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
JP3950490B2 (ja) * 1995-08-04 2007-08-01 東レ・ダウコーニング株式会社 導電性シリコーンゴム組成物および半導体装置
JPH09286971A (ja) * 1996-04-19 1997-11-04 Toray Dow Corning Silicone Co Ltd シリコーン系ダイボンディング剤、半導体装置の製造方法および半導体装置
JP3950493B2 (ja) * 1996-04-26 2007-08-01 東レ・ダウコーニング株式会社 導電性シリコーンゴム組成物、半導体装置の製造方法およびその半導体装置
US5720703A (en) * 1996-06-28 1998-02-24 Eastman Kodak Company Amorphous fluoropolymer coated fusing member
US5813870A (en) * 1996-07-12 1998-09-29 International Business Machines Corporation Selectively filled adhesives for semiconductor chip interconnection and encapsulation
US5886535A (en) * 1996-11-08 1999-03-23 W. L. Gore & Associates, Inc. Wafer level burn-in base unit substrate and assembly
US5830565A (en) 1996-11-08 1998-11-03 W. L. Gore & Associates, Inc. High planarity and low thermal coefficient of expansion base for semi-conductor reliability screening
US5936829A (en) * 1997-01-02 1999-08-10 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
US6020038A (en) * 1997-08-22 2000-02-01 Eastman Kodak Company Fuser member with vinyl and hydride containing polydimethylsiloxane adhesive layer
US6839217B1 (en) * 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
JP2001139894A (ja) 1999-11-15 2001-05-22 Dow Corning Toray Silicone Co Ltd シリコーン系接着性シート、および半導体装置
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6642304B1 (en) * 2000-02-25 2003-11-04 3M Innovative Properties Company Polyurethane-based adhesives, systems for such adhesives, articles therefrom, and methods of making
US6433057B1 (en) * 2000-03-28 2002-08-13 Dow Corning Corporation Silicone composition and electrically conductive silicone adhesive formed therefrom
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6540592B1 (en) * 2000-06-29 2003-04-01 Speedfam-Ipec Corporation Carrier head with reduced moment wear ring
US6534581B1 (en) * 2000-07-20 2003-03-18 Dow Corning Corporation Silicone composition and electrically conductive silicone adhesive formed therefrom
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
JP2002072257A (ja) * 2000-09-05 2002-03-12 Fuji Xerox Co Ltd 表示素子
US6841615B2 (en) * 2000-10-18 2005-01-11 M Cubed Technologies, Inc. Composite Adhesive
EP1407320B1 (en) * 2001-07-09 2006-12-20 E Ink Corporation Electro-optic display and adhesive composition
US20050165194A1 (en) * 2001-11-20 2005-07-28 Rhodia Chimie Crosslinking agent for a silicone composition which can be crosslinked at low temperature based on a hydrogenated silicone oil comprising Si-H units at the chain end and in the chain
JP3803058B2 (ja) 2001-12-11 2006-08-02 信越化学工業株式会社 熱伝導性シリコーン組成物、その硬化物及び敷設方法並びにそれを用いた半導体装置の放熱構造体
US6936644B2 (en) * 2002-10-16 2005-08-30 Cookson Electronics, Inc. Releasable microcapsule and adhesive curing system using the same
US7329464B2 (en) * 2002-11-28 2008-02-12 Shin-Etsu Chemical Co., Ltd. Silicone adhesive composition and an adhesive tape thereof
US20040157064A1 (en) * 2002-11-28 2004-08-12 Shunji Aoki Silicone adhesive composition and an adhesive tape thereof
WO2004084298A1 (ja) * 2003-03-19 2004-09-30 Tokyo Electron Limited 静電チャックを用いた基板保持機構およびその製造方法
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
JP4582287B2 (ja) * 2003-10-27 2010-11-17 信越化学工業株式会社 低汚染性接着剤組成物
US7235288B2 (en) * 2004-03-10 2007-06-26 Johns Manville Polymeric bonding of glass fiber reinforcements with silane based coatings in gypsum board
KR101429098B1 (ko) 2004-06-04 2014-09-22 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
US20050282977A1 (en) * 2004-06-17 2005-12-22 Emil Stempel Cross-linked gel and pressure sensitive adhesive blend, and skin-attachable products using the same
JP2006022168A (ja) 2004-07-07 2006-01-26 Shin Etsu Polymer Co Ltd 粘着性支持体
JP4678847B2 (ja) * 2004-10-28 2011-04-27 信越化学工業株式会社 シリコーン組成物から得られる粘着層を有する粘着性フィルム
JP2006213810A (ja) * 2005-02-03 2006-08-17 Shin Etsu Chem Co Ltd 粘着剤用シリコーン組成物及び該組成物から得られる粘着テープ
US20070131912A1 (en) * 2005-07-08 2007-06-14 Simone Davide L Electrically conductive adhesives
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
TW200720360A (en) * 2005-09-15 2007-06-01 Nihon Handa Co Ltd Thermosetting silicone rubber composition, electronic component and electronic device
US7646581B2 (en) * 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
FR2903679B1 (fr) * 2006-07-17 2014-07-04 Centre Nat Rech Scient Fabrication de dispositifs microfluidiques polymeriques par impression photo-assistee.
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US7901509B2 (en) 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
JP2008085283A (ja) * 2006-09-26 2008-04-10 Momentive Performance Materials Inc 熱均一性が強化された加熱装置及びその製造方法
CN101154555A (zh) * 2006-09-26 2008-04-02 通用电气公司 增强均热性的加热装置及其制造方法
US9631128B2 (en) 2007-02-09 2017-04-25 Ngk Insulators, Ltd. Bonding material and semiconductor supporting device
JP5016510B2 (ja) * 2007-02-09 2012-09-05 日本碍子株式会社 半導体支持装置
JP5053696B2 (ja) * 2007-04-26 2012-10-17 信越化学工業株式会社 静電チャック
KR101577474B1 (ko) 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
CN102668141A (zh) * 2010-06-08 2012-09-12 积水化学工业株式会社 光半导体装置用晶片接合材料及使用其的光半导体装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03157474A (ja) * 1989-11-15 1991-07-05 Toray Dow Corning Silicone Co Ltd 接着剤
JPH09129621A (ja) * 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
JP2002299533A (ja) * 2001-03-29 2002-10-11 Denki Kagaku Kogyo Kk 放熱スペーサー
JP2005129921A (ja) * 2003-10-01 2005-05-19 Shin Etsu Polymer Co Ltd 薄板用固定治具
JP2006165160A (ja) * 2004-12-06 2006-06-22 Shin Etsu Chem Co Ltd 静電チャック
JP2007326922A (ja) * 2006-06-07 2007-12-20 Shin Etsu Chem Co Ltd 艶消し用液状オルガノポリシロキサン組成物及び艶消し硬化物並びに表面光沢度低減方法
JP2008258254A (ja) * 2007-04-02 2008-10-23 Sumitomo Electric Ind Ltd 熱伝導性接着剤及びそれを用いた放熱モジュール、電力変換装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018196407A (ja) * 2017-05-22 2018-12-13 株式会社大一商会 遊技機
JP2018196411A (ja) * 2017-05-22 2018-12-13 株式会社大一商会 遊技機
JP2019165184A (ja) * 2018-03-20 2019-09-26 住友大阪セメント株式会社 静電チャック装置
JP7020221B2 (ja) 2018-03-20 2022-02-16 住友大阪セメント株式会社 静電チャック装置

Also Published As

Publication number Publication date
KR101599902B1 (ko) 2016-03-07
US11264261B2 (en) 2022-03-01
US20170092525A1 (en) 2017-03-30
KR20150013911A (ko) 2015-02-05
KR20110099324A (ko) 2011-09-07
JP7059236B2 (ja) 2022-04-25
JP2020045489A (ja) 2020-03-26
WO2010080590A3 (en) 2010-09-30
US20100156054A1 (en) 2010-06-24
TWI468484B (zh) 2015-01-11
KR20160028501A (ko) 2016-03-11
CN102257608B (zh) 2016-02-17
US9520314B2 (en) 2016-12-13
JP2012512953A (ja) 2012-06-07
TW201028451A (en) 2010-08-01
WO2010080590A2 (en) 2010-07-15
SG171407A1 (en) 2011-07-28
JP2015061913A (ja) 2015-04-02
CN102257608A (zh) 2011-11-23
KR101693806B1 (ko) 2017-01-06

Similar Documents

Publication Publication Date Title
JP7059236B2 (ja) 高温静電チャック接着剤
CN102097353B (zh) 保护在适于在等离子体处理系统中使用的基片支撑件中的粘结层的方法
KR101217379B1 (ko) 포커스 링 및 기판 탑재 시스템
WO2015137270A1 (ja) 誘電体材料及び静電チャック装置
TW529105B (en) Etching method of organic based insulating film and dual damascene process
JP2013004900A (ja) 静電チャック装置
TW200830349A (en) Self-passivating plasma resistant material for joining chamber components
JP2011258953A (ja) 適応熱導体を有するプラズマ処理チャンバ部品
JP2022525028A (ja) プラズマ処理チャンバ用のチャック
JP2007207840A (ja) サセプタ装置
EP2148360B1 (en) Dry etching method
TW200411718A (en) Method for enhancing critical dimension uniformity after etch
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US11591689B2 (en) Method for fabricating chamber parts

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170119

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180828

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190228

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191108

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20191108

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20191115

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20191119

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20200110

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20200122

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200407

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200602

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20200707

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20200811

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20200811