KR101599902B1 - 고온 정전 척 결합 접착제 - Google Patents

고온 정전 척 결합 접착제 Download PDF

Info

Publication number
KR101599902B1
KR101599902B1 KR1020147036829A KR20147036829A KR101599902B1 KR 101599902 B1 KR101599902 B1 KR 101599902B1 KR 1020147036829 A KR1020147036829 A KR 1020147036829A KR 20147036829 A KR20147036829 A KR 20147036829A KR 101599902 B1 KR101599902 B1 KR 101599902B1
Authority
KR
South Korea
Prior art keywords
adhesive layer
adhesive
base
electrostatic chuck
substrate support
Prior art date
Application number
KR1020147036829A
Other languages
English (en)
Other versions
KR20150013911A (ko
Inventor
제니퍼 와이. 선
센 타치
렌-구안 두안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150013911A publication Critical patent/KR20150013911A/ko
Application granted granted Critical
Publication of KR101599902B1 publication Critical patent/KR101599902B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J201/00Adhesives based on unspecified macromolecular compounds
    • C09J201/02Adhesives based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J11/00Features of adhesives not provided for in group C09J9/00, e.g. additives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J11/00Features of adhesives not provided for in group C09J9/00, e.g. additives
    • C09J11/02Non-macromolecular additives
    • C09J11/04Non-macromolecular additives inorganic
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J183/00Adhesives based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Adhesives based on derivatives of such polymers
    • C09J183/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • C08K2003/2227Oxides; Hydroxides of metals of aluminium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/28Nitrogen-containing compounds
    • C08K2003/282Binary compounds of nitrogen with aluminium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/18Oxygen-containing compounds, e.g. metal carbonyls
    • C08K3/20Oxides; Hydroxides
    • C08K3/22Oxides; Hydroxides of metals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/28Nitrogen-containing compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/34Accessory or component

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Adhesives Or Adhesive Processes (AREA)

Abstract

정전 척을 기판 지지체의 부품에 결합시키기 위한 방법 및 장치가 본원에 제공된다. 일부 구체예에서, 기판 지지체의 부품들을 결합시키기 위한 접착제는 충전제가 내부에 분산된 실리콘 기반 폴리머 물질의 매트릭스를 포함할 수 있다. 실리콘 기반 폴리머 물질은 약 500 ppm 미만의 저분자량 (LMW) 함량 Σ D3 - D10을 갖는 분자량을 지닌 폴리디메틸실록산 (PDMS) 구조일 수 있다. 일부 구체예에서, 충전제는 접착제 층의 약 50 내지 약 70 부피%를 포함할 수 있다. 일부 구체예에서, 충전제는 알루미늄 옥사이드(Al2O3), 알루미늄 니트라이드(AlN), 이트륨 옥사이드(Y2O3), 또는 이들의 조합물의 입자를 포함할 수 있다. 일부 구체예에서, 충전제는 약 10 나노미터 내지 약 10 마이크론의 직경을 갖는 입자를 포함할 수 있다.

Description

고온 정전 척 결합 접착제 {HIGH TEMPERATURE ELECTROSTATIC CHUCK BONDING ADHESIVE}
본 발명의 구체예는 일반적으로 기판 공정 장비(substrate process equipment)에 관한 것이다.
정전 척(electrostatic chuck; ESC)은 예를 들어, 접착제 층에 의해 기판 지지체의 부품에 결합될 수 있다. 디바이스의 피쳐 크기(feature size)가 계속 줄어듦에 따라, 이러한 디바이스를 제작하는 공정은 점차적으로 보다 고온 공정을 요구한다. 본 발명자들은, ESC를 결합시키기 위해 통상적으로 사용되는 일반적인 접착제 층이 보다 고온 공정에 의해 분해될 수 있고 결합된 부품로부터 ESC를 박리시킬 수 있다는 것을 발견하였다. 이러한 박리(delamination)는 공정 균일성 문제 뿐만 아니라 접착제 층의 조각들로부터의 입자 오염을 초래할 수 있다.
또한, 보다 작은 피쳐 크기의 디바이스를 제작하기 위해 사용되거나 이를 위해 개발중인 여러 공정들은 또한 증가된 RF 전력을 사용하는데, 이는 상술된 온도 문제를 추가로 악화시킬 수 있고 또한 접착제 층을 침식시킬 수 있다.
이에 따라, 본 발명자들은 ESC 척과 기판 지지체의 부품 간의 결합을 개선시키기 위한 방법 및 장치를 제공하였다.
정전 척을 기판 지지체의 부품에 결합시키기 위한 방법 및 장치가 본원에 제공된다. 일부 구체예에서, 기판 지지체의 부품들을 결합시키기 위한 접착제는 충전제가 내부에 분산된 실리콘-기반 폴리머 물질의 매트릭스를 포함할 수 있다. 실리콘-기반 폴리머 물질은 약 500 ppm 미만의 저분자량 (LMW) 함량 Σ D3 - D10을 갖는 분자량을 지닌 폴리디메틸실록산 (PDMS) 구조일 수 있다. 일부 구체예에서, 접착제는 1 퍼센트 미만의 금속 함량을 가질 수 있다. 일부 구체예에서, 충전제는 접착제 층의 약 50 내지 약 70 부피%를 포함할 수 있다. 일부 구체예에서, 충전제는 알루미늄 옥사이드 (Al2O3), 알루미늄 니트라이드 (AlN), 이트륨 옥사이드 (Y2O3), 또는 이들의 조합물의 입자를 포함할 수 있다. 일부 구체예에서, 충전제는 약 10 나노미터 내지 약 10 마이크론의 직경을 갖는 입자를 포함할 수 있다.
일부 구체예에서, 기판 지지체는 베이스; 충전제가 내부에 분산된 실리콘-기반 폴리머 물질의 매트릭스를 포함하는 접착제 층; 및 베이스 및 접착제 층 상부에 배치된 정전 척을 포함할 수 있으며, 여기서 접착제 층은 베이스 및 정전 척을 결합시킨다. 일부 구체예에서, 실리콘-기반 폴리머 물질은 디메틸실록산 반복 단위를 갖는 폴리디메틸실록산 (PDMS) 구조를 포함할 수 있다. 일부 구체예에서, 매트릭스는 약 500 ppm 미만의 저분자량 (LMW) 함량 Σ D3 - D10을 갖는 분자량을 지닌 폴리머 물질로 형성될 수 있다. 일부 구체예에서, 접착제 층은 약 120℃ 보다 높은 온도에서 작동될 수 있다.
일부 구체예에서, 기판 지지체를 정전 척에 결합시키는 방법은 기판 지지체 베이스 상부에, 충전제가 내부에 분산된 실리콘-기반 폴리머 물질의 매트릭스를 포함하는 접착제 층을 증착시키고; 정전 척을 접착제 층으로 기판 지지체 베이스에 결합시킴을 포함할 수 있다. 접착제 층은 본원에 기술된 임의의 접착제 포뮬레이션일 수 있다. 다른 구체예들 및 추가 구체예들은 하기에 기술된다.
본 발명의 상기 열거된 특징들이 상세하게 이해될 수 있는 방식이 되도록, 상기에서 간단히 요약된 본 발명의 더욱 특별한 설명은 구체예와 관련지어질 수 있는데, 그 일부는 첨부된 도면에 도시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구체예들을 예시하기 위한 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않는다는 것을 주지해야 하는데, 이는 본 발명이 동등하게 효과적인 다른 구체예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 일부 구체예에 따른 공정 키트가 내부에 배치된 에치 반응기(etch reactor)의 개략적 측면도를 도시한 것이다.
도 2는 본 발명의 일부 구체예에 따른 기판 지지체의 부분측면도를 도시한 것이다.
도 3은 기판 지지체를 제작하는 방법의 흐름 차트를 도시한 것이다.
도 4a-4c는 도 3에 도시된 방법에 따른 기판 지지체의 제작 단계를 도시한 것이다.
이러한 도면들은 명료하게 하기 위하여 단순하게 도시된 것으로, 일정한 비례로 도시되어 있지 않다. 이해를 보다 쉽게 하기 위하여, 가능한 한, 도면들에서 공통되는 동일한 구성요소들을 명시하는 데는 동일한 참조 숫자가 사용되었다. 일 구체예의 일부 구성요소는 유리하게 다른 구체예에 도입될 수 있는 것으로 고려된다.
정전 척을 기판 지지체의 부품에 결합시키기 위한 방법 및 장치가 본원에 제공된다. 본 발명의 방법 및 장치는 기판 지지체에 결합된 정전 척(ESC), 및 예를 들어 약 120℃ 보다 높은 온도, 또는 일부 구체예에서 최대 약 180℃의 온도를 갖는 공정 환경에서 상기 기판 지지체가 작동하는 것을 유리하게 허용하는, 상기 기판 지지체에 결합된 정전 척(ESC)을 제작하는 수단을 제공한다. ESC는 유리하게 높은 열전도도, 높은 랩 전단 변형, 높은 인장 변형, 낮은 탈기, 높은 순도, 및/또는 높은 플라즈마 내침식성을 제공할 수 있는 접착제를 사용하여 기판 지지체의 베이스에 결합될 수 있다.
본 발명에 따른 기판 지지체는 공정 챔버에 배치되도록 구성될 수 있다. 예를 들어, 도 1은 본원에서 논의된 바와 같이 본 발명의 구체예를 실행하기 위해 사용될 수 있는 부류의 예시적인 에치 반응기(etch reactor)(102)의 개략적 다이아그램을 도시한 것이다. 상기 반응기(102)는 단독으로, 또는 통합된 반도체 기판 공정 시스템, 또는 클러스터 툴(cluster tool)(미도시됨), 예를 들어 Applied Materials, Inc.(Santa Clara, California)로부터 입수 가능한 CENTURA® 통합된 반도체 웨이퍼 공정 시스템의 프로세싱 모듈로서 사용될 수 있다. 적합한 에치 반응기(102)의 예에는 반도체 장비의 DPS® 라인 (예를 들어, DPS®, DPS® II, DPS® AE, DPS® G3 폴리 에쳐(poly etcher) 등), 반도체 장비의 ADVANTEDGE™ 라인 (예를 들어, AdvantEdge, AdvantEdge G3), 또는 다른 반도체 장비 (예를 들어, ENABLER®, E-MAX®, 등) (또한 Applied Materials, Inc.로부터 입수 가능함)이 포함된다. 상기 리스트의 반도체 장비는 단지 예시적인 것으로, 다른 에치 반응기, 및 비-에치 장비 (예를 들어, CVD 반응기, 또는 다른 반도체 가공 장비)가 본원에 제공된 교시에 따라 변형될 수 있다.
반응기(102)는 전기 접지(134)에 연결된 전도성 챔버벽(130) 및 챔버벽(130) 외측에 위치된 적어도 하나의 솔레노이드 세그먼트(112)를 갖는 공정 챔버(110)를 포함한다. 챔버벽(130)은 챔버(110)의 세척을 용이하게 하는 세라믹 라이너(131)를 포함한다. 에치 공정의 부산물 및 잔류물은 각 웨이퍼가 가공된 후에 라이너(131)로부터 용이하게 제거된다. 솔레노이드 세그먼트(들)(112)는 적어도 5V를 생산할 수 있는 DC 전원(154)에 의해 제어된다. 공정 챔버(110)는 샤워헤드(showerhead)(132)로부터 떨어져 이격된 기판 지지체(116)를 포함한다. 기판 지지체(116)는 샤워헤드(132) 아래에 기판(100)을 유지시키기 위한 정전 척(126)을 포함한다. 샤워헤드(132)는 다양한 가스들이 특정 가스 분배 구배를 이용하여 챔버(110)에 공급될 수 있도록 복수의 가스 분배 구역을 포함할 수 있다. 샤워헤드(132)는 기판 지지체(116)와 마주하고 있는 상단 전극(128)에 탑재된다. 상기 전극(128)은 RF 소스(118)에 연결된다.
정전 척(126)은 바이아스 소스(bias source)(122)에 연결되어 있는 매칭 네트워크(124)를 통해, 가핀 지지체(116) 및 DC 전원 장치(120)에 의해 제어된다. 임의적으로, 상기 소스(122)는 DC 또는 펄스 DC 소스일 수 있다. 상단 전극(128)은 임피던스 변환기(119)(예를 들어, 사분파 정합 스터브(quarter wavelength matching stub))를 통해 무선-주파수 (RF) 소스(118)에 연결된다. 바이아스 소스(122)는 일반적으로 50 kHz 내지 13.56 MHz의 조정 가능한 주파수 및 0 내지 5000 와트의 전력을 갖는 RF 신호를 생산할 수 있다. 상기 소스(118)는 일반적으로 약 160 MHz의 변조 주파수 및 약 0 내지 2000 와트의 전력을 갖는 RF 신호를 생산할 수 있다. 챔버(110)의 내부는 스로틀 밸브(127)를 통해 진공 펌프(136)에 연결되어 있는 고진공 용기이다. 반응성 이온 에치 (RIE) 챔버, 전자 사이클로트론 공명 (ECR) 챔버 등을 포함하는, 다른 형태의 플라즈마 에치 챔버가 본 발명을 실행하기 위해 사용될 수 있다.
정전 척(126)은 접착제 층에 의해 기판 지지체(116)에 결합된다. 정전 척(126)은 세라믹 등과 같은 유전 물질을 포함할 수 있고, 정전 척(126)의 내부에는 전도성 와이어 메시(미도시됨)가 배치될 수 있다. 상기 와이어 메시는 기판(100)을 정전 척(126)의 표면에 고정시키기 위한 수단을 제공하기 위해 DC 전원 장치(120)에 연결될 수 있다.
접착제 층은 도 2를 참조로 하여 하기에서 상세히 기술된다. 본 발명의 접착제 층에 의해 형성된 결합은 유리하게, 기판 지지체가 약 120℃ 보다 높은 온도, 및 일부 구체예에서 최대 약 180℃ 또는 보다 높은 온도를 갖는 공정 환경에서 작동될 수 있게, 강력하다. 또한, 일부 구체예에서, 접착제 층은 높은 플라즈마 밀도, 예를 들어 최대 약 E10 - E12 이온/cm3의 플라즈마 밀도를 갖는 공정 환경을 견딜 수 있다.
기판 지지체(116)는 기판 지지체(116)의 부분 측면도를 도시한 도 2에서 더욱 상세히 도시되어 있다. 기판 지지체(116)는 베이스(202), 및 상기 베이스(202) 상부에 배치된 접착제 층(204)을 추가로 포함하며, 여기서 접착제 층(204)은 베이스(202)와 정전 척(126) 간에 결합을 형성한다.
베이스(202)는 기판 지지체(116)에 하나 이상의 기능을 제공할 수 있다. 예를 들어, 베이스(202)는 그 위에 정전 척(126)을 고정시키기 위한 지지체를 제공할 수 있다. 대안적으로, 또는 조합하여, 베이스(202)는 정전 척(126) 상부에 배치된 기판(100)에서 열을 제거하기 위한 방열판(heat sink)으로서 작용할 수 있다. 베이스(202)는 필요한 경우에 상기 논의된 기능들을 제공하거나 플라즈마 및/또는 반도체 가공 환경과 양립가능하기 위해 임의의 적합한 물질을 포함할 수 있다. 일부 구체예에서, 베이스(202)는 알루미늄 (Al), 스테인레스 스틸, Al-세라믹 복합체, 또는 이들의 조합물로부터 제작된다.
접착제 층(204)은 베이스(202) 상부에 배치되고 베이스(202)와 정전 척(126) 간의 결합을 형성한다. 접착제 층(204)은 약 0.1 mm 내지 약 0.38 mm의 두께를 가질 수 있다. 일반적으로, 접착제 층은 약 0.5 W/mK 보다 큰 열전도도를 가질 수 있다. 접착제 층(204)은 현재 사용되는 접착제 물질, 예를 들어 THERMATTACH® T412 (Parker Hannifin Corp.의 사업부(division)인 Chomerics로부터 입수가능, Woburn, MA.)과 적어도 동일하거나 이 보다 높은 랩 전단 변형, 인장 변형, 내침식성, 및 탈기 성질을 가질 수 있다. 또한, 접착제 층(204)은 가공 동안에 기판(100)에 대한 금속 오염을 제한하기 위해 높은 벌크 순도(> 99%)를 가질 수 있다. 또한, 접착제 층(204)은 반응성 화학물질, 예를 들어 할로겐 함유 화학물질 등 (예를 들어, 브롬화 수소(HBr), 염소(Cl2), 트리플루오로메탄(CHF3), 테트라플루오로메탄(CF4), 또는 이들의 조합물)에 대해 저항적일 수 있다.
접착제 층(204)은 충전제가 내부에 분산된 실리콘-기반 폴리머 물질의 매트릭스를 포함할 수 있다. 일부 구체예에서, 상기 매트릭스는 폴리디메틸실록산 (PDMS) 또는 다른 적합한 실리콘 물질을 포함한다. 상기 매트릭스는 선형 폴리머, 분지형 폴리머, 가교형 폴리머 또는 이들의 조합물로 형성될 수 있다. 또한, 요망되는 물리적 성질들, 예를 들어 전단 및 인장 변형을 달성하거나 접착제 층의 탈기를 제한하기 위하여, 상기 매트릭스는 일부 구체예에서, 약 200 ppm 미만, 또는 일부 구체예에서 약 500 ppm 미만의, 저분자량 (LMW) 함량 Σ D3 - D10 (예를 들어, D3 내지 D10을 모든 구성성분들의 합계, 여기서 D3 내지 D10은 디메틸실록산 반복 단위를 칭하는 것임)이 갖는 분자량을 지닌 폴리머 물질로 형성될 수 있다.
충전제는 접착제 층(204)의 매트릭스에 분산될 수 있다. 상기 충전제는 예를 들어, 기계적 또는 열적 성질, 예를 들어 열전도도를 향상시키기 위해 사용될 수 있다. 상기 충전제는 접착제 층(204)의 약 50 내지 약 70 부피%를 포함할 수 있다. 일 구체예에서, 상기 충전제는 접착제 층(204)의 약 67 부피%이다. 상기 충전제는 입자, 예를 들어 알루미늄 옥사이드 (Al2O3), 알루미늄 니트라이드 (AlN), 이트륨 옥사이드 (Y2O3) 또는 이들의 조합물을 포함하는 입자를 포함할 수 있다. 상기 입자는 약 10 나노미터 내지 약 10 마이크론, 또는 약 100 나노미터 내지 약 3 마이크론의 직경 범위를 가질 수 있다.
임의적으로, 기판 지지체(116)는 추가 부품, 예를 들어 RF 바이아스를 기판(100)에 제공하기 위한 캐소드(206), 또는 베이스(202) 주위에 배치된 배플 어셈블리(208)를 포함할 수 있다. 배플 어셈블리(208)는 공정 키트, 공정 키트 실드 등을 유지시키도록 구성될 수 있다. 갭(210)은 정전 척(126), 접착제 층(204) 및 베이스(202)의 주변 엣지와, 기판 지지체(116)의 임의적 부품들 사이에 존재할 수 있다. 일부 구체예에서, 실리콘 삽입물(silicon insert)이 가공 동안에 갭(210)에 반응성 가스 또는 플라즈마가 들어가는 것을 제한하기 위해 갭(210) 상부에 배치될 수 있다. 또한, 기판 지지체(116)는 기판 지지체(116)의 상단 표면에 대하여 기판(100)을 상승시키거나 하강시키기 위해 사용될 수 있는 예를 들어 리프트 핀(미도시됨)을 수용하기 위해 베이스(202), 접착제 층(204) 및 정전 척(126)을 관통하여 배치된 홀(미도시됨)을 포함할 수 있다.
도 1로 돌아가서, 작동 중에, 기판(100)은 기판 지지체(116) 상에 배치된다. 챔버 내부는 진공에 가까운 환경으로 펌핑되며, 발화되는 경우 플라즈마를 형성하는 가스(150)(예를 들어, 아르곤)가 가스 패널(138)로부터 샤워헤드(132)를 통해 공정 챔버(110)로 제공된다. 가스(150)는 RF 소스(118)로부터 상단 전극(128)(애노드)으로 전력을 인가함으로써 공정 챔버(110)에서 플라즈마(152)로 발화된다. 자기장은 솔레노이드 세그먼트(들)(112)를 통해 플라즈마(152)에 인가되며, 기판 지지체(116)는 바이아스 소스(122)로부터 전력을 인가함으로써 편향된다(bias). 기판(100)을 가공하는 동안에, 에치 챔버(110) 내부의 압력은 가스 패널(138) 및 스로틀 밸브(127)를 이용하여 제어된다. 플라즈마(152)는 예를 들어, 기판(100)에서 비아(via) 또는 트랜치(trench)와 같은 피쳐를 에칭하기 위해 사용될 수 있다.
챔버 벽(130)의 온도는 벽에 그리고 벽 둘레에 위치한 액체-함유 도관(미도시됨)을 이용하여 제어된다. 또한, 기판(100)의 온도는 냉각제를 순환시키기 위해 내부에 형성된 채널을 구비한 냉각판(미도시됨)에 의해 기판 지지체(116)의 온도를 조절함으로써 제어된다. 추가적으로, 배면 가스(예를 들어, 헬륨(He) 가스)가 가스 공급원(148)으로부터 채널로 제공되며, 이러한 채널은 기판(100)의 배면 및 정전 척(126)의 표면에서의 그루브(groove)(미도시됨)에 의해 형성된다. 헬륨 가스는 기판 지지체(116)와 기판(100) 사이에 열전달을 촉진하기 위하여 사용된다. 정전 척(126)은 척 바디 내의 저항 히터(미도시됨)에 의해 정상 상태(steady state) 온도로 가열되며, 헬륨 가스는 기판(100)의 균일한 가열을 촉진시킨다. 척(126)의 열적 제어를 이용하여, 기판(100)은 10 내지 500℃의 온도에서 유지된다.
제어기(140)는 상술된 바와 같이 챔버(110)의 제어를 용이하게 하기 위해 사용될 수 있다. 제어기(140)는 다양한 챔버를 제어하기 위해 산업용 설정에서 사용되는 범용 컴퓨터 프로세서 및 서브-프로세서의 임의의 형태 중 하나일 수 있다. 제어기(140)는 중앙처리장치(CPU)(144), 메모리(142), 및 CPU(144)용 지지 회로(146)를 포함하고, 에치 공정의 제어를 용이하게 하기 위하여 에치 공정 챔버(110)의 여러 부품들에 연결된다. 메모리(142)는 CPU(144)에 연결된다. 메모리(142), 또는 컴퓨터 판독 가능한 매체는 용이하게 입수 가능한 메모리, 예를 들어 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격의 디지털 저장장치 중 하나 이상일 수 있다. 지지 회로(146)는 통상적인 방식으로 프로세서를 지지하기 위해 CPU(144)에 연결된다. 이러한 회로는 캐시(cache), 전원 장치, 시계 회로(clock circuit), 입력/출력 회로 및 서브시스템 등을 포함한다. CPU(144)에 의해 실행될 때, 소프트웨어 루틴(104)은 반응기가 공정, 예를 들어 에치 공정 등을 실행하도록 하며, 일반적으로 메모리(142)에 저장되어 있다. 소프트웨어 루틴(104)은 또한 CPU(144)에 의해 제어되는 하드웨어로부터 멀리 위치된 제 2 CPU(미도시됨)에 의해 저장되고/거나 실행될 수 있다.
기판 지지체를 제작하기 위한 방법(300)의 흐름 차트는 도 3에 도시되어 있다. 상기 방법(300)은 도 4a-4c에 도시된 기판 지지체의 제작의 단계들에 대하여 하기에 기술된다. 상기 방법(300)은 도 4a에 도시된 바와 같이 (302)에서 베이스(402)를 제공함으로써 개시된다. 베이스(402)는 상기에 논의된 베이스(202)와 구조 및 기능이 유사하다.
(304)에서, 접착제 층(404)은 도 4b에 도시된 바와 같이 베이스(402) 상부에 증착된다. 접착제 층(404)은 상기에 논의된 접착제 층(404)과 구조 및 기능이 유사하다. 접착제 층(404)은 사전 형성된 시트 또는 액체로서 증착될 수 있다. 예를 들어, 액체 공정이 사용될 때, 매트릭스 물질, 예를 들어 PDMS, 및 충전제, 예를 들어 알루미늄 옥사이드 (Al2O3) 입자가 함께 혼합될 수 있고 트랩핑된 가스 등을 제거하기 위해 예를 들어 배기(de-airing)에 의해 탈기될 수 있다. 이후에 촉매가 매트릭스 및 충전제 혼합물에 첨가될 수 있다. 촉매는 예를 들어, 매트릭스 물질의 가교를 촉진하기 위한 백금 촉매 또는 다른 적합한 촉매 물질일 수 있다. 매트릭스 물질 및 촉매는 약 5:1 내지 약 20:1의 질량비를 가지며, 여기서 보다 낮은 질량비는 결합 공정 동안에 매트릭스 물질의 증가된 가교를 초래할 수 있다. 상기 혼합물은 이후에 다시 예를 들어 배기에 의해 탈기될 수 있고, 액체 형태로 베이스(402) 상부에 증착될 수 있다. 사전 형성된 시트는 접착제의 시트가 먼저 사전 경화되어 시트를 형성한 후에 베이스(402)의 상부에 증착될 수 있는 것을 제외하고는, 액체 공정과 동일한 방법에 의해 형성될 수 있다. 일부 구체예에서, 실리콘-기반 폴리머 물질의 혼합물이 가열되거나 증류되어, 혼합물로부터 저분자량 구성성분들의 적어도 일부(예를 들어, D3 내지 D10 단위)를 제거할 수 있다.
(306)에서, 정전 척(406)은 도 4c에 도시된 바와 같이, 접착제 층에 의해 베이스(402)에 결합된다. 결합 공정은 압력 용기, 예를 들어 오토클레이브 오븐 등에서 수행될 수 있다. 일부 구체예에서, 압력 용기의 압력은 약 344,737 Pa 내지 1,378,951 Pa이다. 대안적으로, 결합 공정은, 베이스(402)와 정전 척(406) 간의 결합을 형성하기 위해 베이스(402) 및/또는 접착제 층(404)을 가열하면서, 압력을 가하기 위해 예를 들어, 중력, 클램핑, 스크류잉(screwing), 등에 의해 정전 척(406)과 베이스(402) 사이에 압력을 가함으로써 수행될 수 있다. 일부 구체예에서, 약 3,447 Pa 내지 약 99,973 Pa의 압력이 결합 공정 동안에 클램핑 등에 의해 가해진다.
일부 구체예에서, 베이스(402)는 접착제 층(404)을 도포하기 전에 예열될 수 있다. 베이스(402)의 예열 온도는 약 50 내지 110℃일 수 있다. 예열 온도는, 일단 도달되며, 결합 공정 전반에 걸쳐 유지될 수 있다. 임의적으로, 정전 척(406)은 마찬가지로 압력을 가하기 전에 예열될 수 있다. 방법(300)은 일반적으로, 접착제 층이 정전 척(406)과 베이스(402) 간의 결합을 형성할 때 종료된다. 일부 구체예에서, 압력 및 열은 결합을 형성하기 위해 약 3 내지 약 8 시간 동안 가해진다.
임의적으로, 결합 형성후 공정은 접착제 층(404)으로부터 보다 낮은 분자량의 잔류물의 제거를 촉진하기 위하여 결합 온도 보다 약 10 내지 약 30℃ 높은 온도에서 적합한 시간 동안 베이킹시킴을 포함할 수 있다.
임의적으로, 일부 구체예에서, 프라이머는 예를 들어 정전 척(406) 및/또는 베이스(402)의 표면에 대한 접착제 층(404)의 접착력을 증진시키기 위해 사용될 수 있다. 프라이머는 예를 들어, 금속 유기실란, 예를 들어 Dow Corning Corp. (Midland, Michigan)로부터 입수 가능한 DC1200을 포함할 수 있다. 프라이머는 상기 논의된 방법을 이용하여 접착제 층(404)을 증착시키고 결합시키기 전에 베이스(402) 및/또는 정전 척(406)의 결합 표면에 도포되고 그 위에서 경화될 수 있다.
이에 따라, 정전 척을 기판 지지체에 결합시키기 위한 방법 및 장치가 본원에 제공된다. 본 발명의 방법 및 장치는 기판 지지체, 및 기판 지지체가 유리하게 약 120℃ 보다 높은 공정 환경에서 상기 기판 지지체가 작동하는 것을 유리하게 허용하는, 상기 기판 지지체를 제작하는 수단을 제공한다.
상기 설명이 본 발명의 구체예에 관한 것이지만, 본 발명의 다른 구체예 및 추가 구체예들이 이의 기본적인 범위를 벗어나지 않으면서 고안될 수 있다.

Claims (14)

  1. 충전제가 내부에 분산된 실리콘-기반 폴리머 물질의 매트릭스를 포함하는, 기판 지지체의 부품들을 결합시키기 위한 접착제로서,
    실리콘-기반 폴리머 물질이 3개 내지 10개의 디메틸실록산 반복 단위를 갖는 폴리디메틸실록산 (PDMS) 구조를 포함하며, 3개 내지 10개의 디메틸실록산 반복 단위의 모든 구성성분의 합이 500 ppm 미만이고, 접착제가 할로겐 함유 화학물질과 실질적으로 비반응성이며, 충전제가 접착제 층의 67 부피% 내지 70 부피%를 포함하는 접착제.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서, 접착제가 120℃ 내지 180℃의 온도에서 작동가능한 접착제.
  5. 제 1항에 있어서, 접착제가 0.5 W/mK 이상의 열전도도를 갖는 접착제.
  6. 삭제
  7. 제 1항에 있어서, 충전제가 알루미늄 옥사이드 (Al2O3), 알루미늄 니트라이드 (AlN), 이트륨 옥사이드 (Y2O3), 또는 이들의 조합물의 입자를 포함하는 접착제.
  8. 제 1항에 있어서, 충전제가 10 나노미터 내지 10 마이크론의 직경을 갖는 입자를 포함하는 접착제.
  9. 베이스(base);
    제 1항, 제 4항, 제 5항, 제 7항 및 제 8항 중 어느 한 항의 접착제를 포함하는 접착제 층; 및
    베이스 및 접착제 층 상부에 배치된 정전 척(electrostatic chuck)을 포함하며,
    접착제 층이 베이스와 정전 척을 결합시키는, 기판 지지체.
  10. 제 9항에 있어서, 베이스가 알루미늄, 스테인레스 스틸, 세라믹, 또는 알루미늄-세라믹 복합체 중 하나를 포함하는 기판 지지체.
  11. 제 9항에 있어서, 접착제 층이 0.1 mm 내지 0.38 mm의 두께를 갖는 기판 지지체.
  12. 기판 지지체 베이스 상부에 제 1항, 제 4항, 제 5항, 제 7항 및 제 8항 중 어느 한 항의 접착제를 포함하는 접착제 층을 증착시키는 단계; 및
    정전 척을 접착제 층으로 기판 지지체 베이스에 결합시키는 단계를 포함하여, 정전 척에 기판 지지체를 결합시키는 방법.
  13. 제 12항에 있어서, 정전 척을 기판 지지체 베이스에 결합시키는 단계가,
    베이스 또는 접착제 층 중 하나 이상을 미리결정된 온도로 가열시키면서 정전 척과 베이스 사이에 3,447 Pa 내지 99,973 Pa의 압력을 가하거나;
    접착제가 경화하는 동안에 344,737 Pa 내지 1,378,951 Pa의 압력의 압력 용기에 정전 척, 접착제 층 및 기판 지지체 베이스를 배치시키는 것을 포함하는 방법.
  14. 제 12항에 있어서,
    접착제 층을 증착시키기 전에 베이스 또는 정전 척 중 하나 이상을 50℃ 내지 110℃의 온도로 가열하거나;
    결합 후에, 정전 척, 접착제 층 및 베이스를 결합 온도 보다 10℃ 내지 30℃ 높은 온도로 가열하여 접착제 층에서 보다 저분자량의 잔류물을 일부 또는 전부 제거하는 것 중 하나 이상을 추가로 포함하는 방법.
KR1020147036829A 2008-12-19 2009-12-18 고온 정전 척 결합 접착제 KR101599902B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13929708P 2008-12-19 2008-12-19
US61/139,297 2008-12-19
US12/640,496 2009-12-17
US12/640,496 US9520314B2 (en) 2008-12-19 2009-12-17 High temperature electrostatic chuck bonding adhesive
PCT/US2009/068686 WO2010080590A2 (en) 2008-12-19 2009-12-18 High temperature electrostatic chuck bonding adhesive

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020117016896A Division KR20110099324A (ko) 2008-12-19 2009-12-18 고온 정전 척 결합 접착제

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167004887A Division KR101693806B1 (ko) 2008-12-19 2009-12-18 고온 정전 척 결합 접착제

Publications (2)

Publication Number Publication Date
KR20150013911A KR20150013911A (ko) 2015-02-05
KR101599902B1 true KR101599902B1 (ko) 2016-03-07

Family

ID=42264875

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020147036829A KR101599902B1 (ko) 2008-12-19 2009-12-18 고온 정전 척 결합 접착제
KR1020167004887A KR101693806B1 (ko) 2008-12-19 2009-12-18 고온 정전 척 결합 접착제
KR1020117016896A KR20110099324A (ko) 2008-12-19 2009-12-18 고온 정전 척 결합 접착제

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020167004887A KR101693806B1 (ko) 2008-12-19 2009-12-18 고온 정전 척 결합 접착제
KR1020117016896A KR20110099324A (ko) 2008-12-19 2009-12-18 고온 정전 척 결합 접착제

Country Status (7)

Country Link
US (2) US9520314B2 (ko)
JP (4) JP2012512953A (ko)
KR (3) KR101599902B1 (ko)
CN (1) CN102257608B (ko)
SG (1) SG171407A1 (ko)
TW (1) TWI468484B (ko)
WO (1) WO2010080590A2 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
DE102007043030B4 (de) * 2007-09-11 2009-11-12 M & H Inprocess Messtechnik Gmbh Werkzeugaufnahme sowie Messtaster mit einer Werkzeugaufnahme
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120118510A1 (en) * 2010-11-15 2012-05-17 Applied Materials, Inc. Method for debonding components in a chamber
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9267605B2 (en) 2011-11-07 2016-02-23 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
JP6110284B2 (ja) * 2013-11-21 2017-04-05 日本特殊陶業株式会社 静電チャック
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
KR102235605B1 (ko) * 2014-10-08 2021-04-06 삼성디스플레이 주식회사 증착 장치 및 이를 이용한 증착 방법
KR20170128585A (ko) * 2015-03-20 2017-11-22 어플라이드 머티어리얼스, 인코포레이티드 고온 폴리머 본드를 이용하여 금속 베이스에 본딩 결합된 세라믹 정전 척
KR102508957B1 (ko) * 2015-03-24 2023-03-13 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
JP6591491B2 (ja) * 2017-05-22 2019-10-16 株式会社大一商会 遊技機
JP6591487B2 (ja) * 2017-05-22 2019-10-16 株式会社大一商会 遊技機
US10688750B2 (en) 2017-10-03 2020-06-23 Applied Materials, Inc. Bonding structure of E chuck to aluminum base configuration
JP7020221B2 (ja) * 2018-03-20 2022-02-16 住友大阪セメント株式会社 静電チャック装置
US10847402B2 (en) 2018-04-02 2020-11-24 Applied Materials, Inc. Bond protection around porous plugs
US11456161B2 (en) 2018-06-04 2022-09-27 Applied Materials, Inc. Substrate support pedestal
JP7090481B2 (ja) * 2018-06-15 2022-06-24 新光電気工業株式会社 静電チャック及びその製造方法
US10847400B2 (en) * 2018-12-28 2020-11-24 Applied Materials, Inc. Adhesive-less substrate bonding to carrier plate
KR102530198B1 (ko) * 2019-01-08 2023-05-09 한국생산기술연구원 복합 유전체층의 제조방법 및 그를 포함하는 전기접착식 그리퍼의 제조방법
CN113853672A (zh) 2019-05-24 2021-12-28 应用材料公司 具有改良的接合层保护的基板支撑载体
KR102116371B1 (ko) * 2019-09-19 2020-05-28 주식회사티티엘 Pdms 접합장치
KR102290115B1 (ko) 2020-01-22 2021-08-17 한국생산기술연구원 복합 유전체층의 제조방법 및 그를 포함하는 전기접착식 그리퍼의 제조방법
US11940340B2 (en) * 2020-05-13 2024-03-26 Hutchinson Technology Incorporated Integrated sensors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001139894A (ja) 1999-11-15 2001-05-22 Dow Corning Toray Silicone Co Ltd シリコーン系接着性シート、および半導体装置
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
JP2005126618A (ja) 2003-10-27 2005-05-19 Shin Etsu Chem Co Ltd 低汚染性接着剤組成物
JP2008218992A (ja) 2007-02-09 2008-09-18 Ngk Insulators Ltd 接合剤及び半導体支持装置

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3445426A (en) * 1966-08-22 1969-05-20 Dow Corning Pentavalent silane and tetravalent boron catecholates as polymerization catalysts
US3518221A (en) * 1967-10-30 1970-06-30 Monsanto Co Reinforcing fillers in a matrix of two thermosetting resins
US3539655A (en) * 1968-03-21 1970-11-10 Union Carbide Corp Siloxane-polyarylene polyether copolymers
US3536657A (en) * 1968-03-21 1970-10-27 Union Carbide Corp Mixtures of polyarylene polyethers and siloxane-polyarylene polyether copolymers
US3576387A (en) * 1970-03-19 1971-04-27 Chomerics Inc Heat shrinkable electromagnetic shield for electrical conductors
US4370358A (en) * 1980-09-22 1983-01-25 General Electric Company Ultraviolet curable silicone adhesives
US4591622A (en) * 1984-10-29 1986-05-27 Dow Corning Corporation Silicone pressure-sensitive adhesive process and product thereof
JPS61157569A (ja) * 1984-12-28 1986-07-17 Shin Etsu Polymer Co Ltd 熱伝導性接着組成物
US4698406A (en) * 1986-11-04 1987-10-06 Dow Corning Corporation Curable organopolysiloxane composition
US4950563A (en) * 1988-12-27 1990-08-21 International Fuel Cells Phosphoric acid fuel cells with improved corrosion resistance
JP2882823B2 (ja) * 1989-11-15 1999-04-12 東レ・ダウコーニング・シリコーン株式会社 接着剤
US5182143A (en) * 1990-02-28 1993-01-26 Lord Corporation Layered sol-gel coatings
US5256562A (en) * 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
JP3950490B2 (ja) * 1995-08-04 2007-08-01 東レ・ダウコーニング株式会社 導電性シリコーンゴム組成物および半導体装置
JPH09129621A (ja) 1995-09-28 1997-05-16 Applied Materials Inc パルス波形バイアス電力
JPH09286971A (ja) * 1996-04-19 1997-11-04 Toray Dow Corning Silicone Co Ltd シリコーン系ダイボンディング剤、半導体装置の製造方法および半導体装置
JP3950493B2 (ja) * 1996-04-26 2007-08-01 東レ・ダウコーニング株式会社 導電性シリコーンゴム組成物、半導体装置の製造方法およびその半導体装置
US5720703A (en) * 1996-06-28 1998-02-24 Eastman Kodak Company Amorphous fluoropolymer coated fusing member
US5813870A (en) * 1996-07-12 1998-09-29 International Business Machines Corporation Selectively filled adhesives for semiconductor chip interconnection and encapsulation
US5886535A (en) * 1996-11-08 1999-03-23 W. L. Gore & Associates, Inc. Wafer level burn-in base unit substrate and assembly
US5830565A (en) * 1996-11-08 1998-11-03 W. L. Gore & Associates, Inc. High planarity and low thermal coefficient of expansion base for semi-conductor reliability screening
US5936829A (en) * 1997-01-02 1999-08-10 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
US6020038A (en) * 1997-08-22 2000-02-01 Eastman Kodak Company Fuser member with vinyl and hydride containing polydimethylsiloxane adhesive layer
US6839217B1 (en) * 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6642304B1 (en) * 2000-02-25 2003-11-04 3M Innovative Properties Company Polyurethane-based adhesives, systems for such adhesives, articles therefrom, and methods of making
US6433057B1 (en) * 2000-03-28 2002-08-13 Dow Corning Corporation Silicone composition and electrically conductive silicone adhesive formed therefrom
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6540592B1 (en) * 2000-06-29 2003-04-01 Speedfam-Ipec Corporation Carrier head with reduced moment wear ring
US6534581B1 (en) * 2000-07-20 2003-03-18 Dow Corning Corporation Silicone composition and electrically conductive silicone adhesive formed therefrom
JP4753460B2 (ja) * 2000-08-16 2011-08-24 株式会社クリエイティブ テクノロジー 静電チャック及びその製造方法
JP2002072257A (ja) * 2000-09-05 2002-03-12 Fuji Xerox Co Ltd 表示素子
JP2004524383A (ja) * 2000-10-18 2004-08-12 エム キューブド テクノロジーズ, インコーポレイテッド 複合接着剤
JP4574885B2 (ja) 2001-03-29 2010-11-04 電気化学工業株式会社 放熱スペーサー
EP1407320B1 (en) * 2001-07-09 2006-12-20 E Ink Corporation Electro-optic display and adhesive composition
US20050165194A1 (en) * 2001-11-20 2005-07-28 Rhodia Chimie Crosslinking agent for a silicone composition which can be crosslinked at low temperature based on a hydrogenated silicone oil comprising Si-H units at the chain end and in the chain
JP3803058B2 (ja) 2001-12-11 2006-08-02 信越化学工業株式会社 熱伝導性シリコーン組成物、その硬化物及び敷設方法並びにそれを用いた半導体装置の放熱構造体
US6936644B2 (en) * 2002-10-16 2005-08-30 Cookson Electronics, Inc. Releasable microcapsule and adhesive curing system using the same
US20040157064A1 (en) * 2002-11-28 2004-08-12 Shunji Aoki Silicone adhesive composition and an adhesive tape thereof
US7329464B2 (en) * 2002-11-28 2008-02-12 Shin-Etsu Chemical Co., Ltd. Silicone adhesive composition and an adhesive tape thereof
WO2004084298A1 (ja) * 2003-03-19 2004-09-30 Tokyo Electron Limited 静電チャックを用いた基板保持機構およびその製造方法
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
JP2005129921A (ja) 2003-10-01 2005-05-19 Shin Etsu Polymer Co Ltd 薄板用固定治具
US7235288B2 (en) * 2004-03-10 2007-06-26 Johns Manville Polymeric bonding of glass fiber reinforcements with silane based coatings in gypsum board
US7622367B1 (en) * 2004-06-04 2009-11-24 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US20050282977A1 (en) * 2004-06-17 2005-12-22 Emil Stempel Cross-linked gel and pressure sensitive adhesive blend, and skin-attachable products using the same
JP2006022168A (ja) 2004-07-07 2006-01-26 Shin Etsu Polymer Co Ltd 粘着性支持体
JP4678847B2 (ja) * 2004-10-28 2011-04-27 信越化学工業株式会社 シリコーン組成物から得られる粘着層を有する粘着性フィルム
JP4386360B2 (ja) * 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
JP2006213810A (ja) * 2005-02-03 2006-08-17 Shin Etsu Chem Co Ltd 粘着剤用シリコーン組成物及び該組成物から得られる粘着テープ
US20070131912A1 (en) * 2005-07-08 2007-06-14 Simone Davide L Electrically conductive adhesives
US7672110B2 (en) * 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
WO2007032481A1 (ja) * 2005-09-15 2007-03-22 Nihon Handa Co., Ltd. 熱硬化性シリコーンゴム組成物、電子部品および電子機器
US7646581B2 (en) * 2006-01-31 2010-01-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck
JP4821986B2 (ja) * 2006-06-07 2011-11-24 信越化学工業株式会社 艶消し用液状オルガノポリシロキサン組成物からなるポッティング材又はコーティング材及び艶消し硬化物並びに表面光沢度低減方法
FR2903679B1 (fr) * 2006-07-17 2014-07-04 Centre Nat Rech Scient Fabrication de dispositifs microfluidiques polymeriques par impression photo-assistee.
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
CN101154555A (zh) * 2006-09-26 2008-04-02 通用电气公司 增强均热性的加热装置及其制造方法
JP2008085283A (ja) * 2006-09-26 2008-04-10 Momentive Performance Materials Inc 熱均一性が強化された加熱装置及びその製造方法
US9631128B2 (en) * 2007-02-09 2017-04-25 Ngk Insulators, Ltd. Bonding material and semiconductor supporting device
JP2008258254A (ja) 2007-04-02 2008-10-23 Sumitomo Electric Ind Ltd 熱伝導性接着剤及びそれを用いた放熱モジュール、電力変換装置
JP5053696B2 (ja) * 2007-04-26 2012-10-17 信越化学工業株式会社 静電チャック
CN102027574B (zh) 2008-02-08 2014-09-10 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
US20120153342A1 (en) * 2010-06-08 2012-06-21 Takashi Nishimura Die-bonding material for optical semiconductor devices and optical semiconductor device using same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001139894A (ja) 1999-11-15 2001-05-22 Dow Corning Toray Silicone Co Ltd シリコーン系接着性シート、および半導体装置
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
JP2005126618A (ja) 2003-10-27 2005-05-19 Shin Etsu Chem Co Ltd 低汚染性接着剤組成物
JP2008218992A (ja) 2007-02-09 2008-09-18 Ngk Insulators Ltd 接合剤及び半導体支持装置

Also Published As

Publication number Publication date
SG171407A1 (en) 2011-07-28
WO2010080590A3 (en) 2010-09-30
KR20150013911A (ko) 2015-02-05
KR20110099324A (ko) 2011-09-07
JP2017101243A (ja) 2017-06-08
WO2010080590A2 (en) 2010-07-15
CN102257608B (zh) 2016-02-17
JP2020045489A (ja) 2020-03-26
JP7059236B2 (ja) 2022-04-25
KR20160028501A (ko) 2016-03-11
TW201028451A (en) 2010-08-01
CN102257608A (zh) 2011-11-23
JP2012512953A (ja) 2012-06-07
US20100156054A1 (en) 2010-06-24
US20170092525A1 (en) 2017-03-30
US11264261B2 (en) 2022-03-01
TWI468484B (zh) 2015-01-11
JP2015061913A (ja) 2015-04-02
KR101693806B1 (ko) 2017-01-06
US9520314B2 (en) 2016-12-13

Similar Documents

Publication Publication Date Title
KR101599902B1 (ko) 고온 정전 척 결합 접착제
TWI415165B (zh) 保護在用於一電漿處理系統中之一基材支承內的結合層之方法
JP6103046B2 (ja) 誘電体材料、静電チャック装置
TWI579956B (zh) 靜電吸盤裝置
JP5035884B2 (ja) 熱伝導シート及びこれを用いた被処理基板の載置装置
KR101217379B1 (ko) 포커스 링 및 기판 탑재 시스템
JP2019537262A (ja) V字型シールバンドを有するセラミック静電チャック
CN1914712A (zh) 用于减少等离子体反应器蚀刻速率漂移的温控热边缘环组件
JP2006049352A (ja) サセプタ装置
JP5011736B2 (ja) 静電チャック装置
EP2148360A1 (en) Dry etching method
JP2008042137A (ja) 静電チャック装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent