JP2016111177A - エッチング方法 - Google Patents

エッチング方法 Download PDF

Info

Publication number
JP2016111177A
JP2016111177A JP2014246745A JP2014246745A JP2016111177A JP 2016111177 A JP2016111177 A JP 2016111177A JP 2014246745 A JP2014246745 A JP 2014246745A JP 2014246745 A JP2014246745 A JP 2014246745A JP 2016111177 A JP2016111177 A JP 2016111177A
Authority
JP
Japan
Prior art keywords
gas
region
plasma
fluorocarbon
film thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014246745A
Other languages
English (en)
Other versions
JP6320282B2 (ja
Inventor
幕樹 戸村
Maju Tomura
幕樹 戸村
隆幸 勝沼
Takayuki Katsunuma
隆幸 勝沼
昌伸 本田
Masanobu Honda
昌伸 本田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014246745A priority Critical patent/JP6320282B2/ja
Priority to PCT/JP2015/082646 priority patent/WO2016088575A1/ja
Priority to US15/527,360 priority patent/US10090191B2/en
Priority to KR1020177012095A priority patent/KR102418244B1/ko
Priority to CN201580060311.XA priority patent/CN107078050B/zh
Priority to TW104140016A priority patent/TWI671815B/zh
Publication of JP2016111177A publication Critical patent/JP2016111177A/ja
Application granted granted Critical
Publication of JP6320282B2 publication Critical patent/JP6320282B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】シリコン原子及び酸素原子を含む第1領域第1領域を第2領域に対して選択的にエッチングする。【解決手段】一実施形態の方法は、1回以上のシーケンスを実行する工程と、1回以上のシーケンスの実行によって形成されたフルオロカーボン含有膜の膜厚を低減させる工程とを含む。1回以上のシーケンスの各々は、フルオロカーボンガスを含み酸素ガスを含まない処理ガスのプラズマを生成することにより、被処理体上にフルオロカーボン含有膜を形成する工程と、フルオロカーボン含有膜に含まれるフルオロカーボンのラジカルにより第1領域をエッチングする工程と、を含む。この方法では、1回以上のシーケンスとフルオロカーボン含有膜の膜厚を低減させる工程との交互の繰り返しが実行される。【選択図】図1

Description

本発明の実施形態は、エッチング方法に関するものであり、特に、被処理体に対するプラズマ処理によって、シリコン原子及び酸素原子を含む第1領域を、当該第1領域とは異なる材料から構成された第2領域に対して選択的にエッチングする方法に関するものである。
電子デバイスの製造においては、シリコン原子及び酸素原子を含む領域、例えば、酸化シリコン(SiO)から構成された領域に対してホール又はトレンチといった開口を形成する処理が行われることがある。このような処理では、米国特許第7708859号明細書に記載されているように、一般的には、フルオロカーボンガスのプラズマに被処理体が晒されて、当該領域がエッチングされる。
また、シリコン原子及び酸素原子を含む第1領域、例えば、酸化シリコンから構成された第1領域を、当該第1領域とは異なる材料から構成された第2領域に対して選択的にエッチングする技術が知られている。このような技術の一例としては、SAC(Self−Alignd Contact)技術が知られている。SAC技術については、特開2000−37001号公報に記載されている。
SAC技術の処理対象である被処理体は、酸化シリコン製の第1領域、窒化シリコン製の第2領域、及びマスクを有している。第2領域は、凹部を画成するように設けられており、第1領域は、当該凹部を埋め、且つ、第2領域を覆うように設けられており、マスクは、第1領域上に設けられており、凹部の上に開口を提供している。従来のSAC技術では、特開2000−37001号公報に記載されているように、第1領域のエッチングのために、フルオロカーボンガス、酸素ガス、及び希ガスを含む処理ガスのプラズマが用いられる。この処理ガスのプラズマに被処理体を晒すことにより、マスクの開口から露出した部分において第1領域がエッチングされて上部開口が形成される。さらに、処理ガスのプラズマに被処理体が晒されることにより、第2領域によって挟まれた部分において第1領域が自己整合的にエッチングされる。これにより、上部開口に連続する下部開口が自己整合的に形成される。
米国特許第7708859号明細書 特開2000−37001号公報
しかしながら、上述した従来の技術では、第1領域のエッチング中に第2領域に許容できない削れが生じ得る。この削れを抑制するためにフルオロカーボンを第2領域上に多く堆積させる条件を選択すると、フルオロカーボン含有膜によって開口(上部開口及び/又は下部開口)の幅が狭められ、場合によっては開口が閉塞される事態が生じる。即ち、第2領域の削れのフルオロカーボン含有膜による抑制とフルオロカーボン含有膜による開口幅の縮小の抑制とはトレードオフの関係にあり、両者を両立させることは困難であった。
したがって、シリコン及び酸素を含む第1領域のエッチングにおいて、当該第1領域とは異なる材料から構成された第2領域の削れを抑制し、且つ、フルオロカーボン含有膜による開口幅の縮小を抑制することが求められている。
一態様においては、被処理体に対するプラズマ処理によって、シリコン原子及び酸素原子を含む第1領域を該第1領域とは異なる材料から構成された第2領域に対して選択的にエッチングする方法が提供される。被処理体は、凹部を画成する第2領域、該凹部を埋め、且つ、第2領域を覆うように設けられた第1領域、及び凹部の上に開口を提供し第1領域上に設けられたマスクを有する。この方法は、(a)1回以上のシーケンスを実行する工程であり、該1回以上のシーケンスの各々が、(a1)フルオロカーボンガスを含み酸素ガスを含まない処理ガスのプラズマを生成することにより、被処理体上にフルオロカーボン含有膜を形成する工程と、(a2)フルオロカーボン含有膜に含まれるフルオロカーボンのラジカルにより第1領域をエッチングする工程と、を含む該工程と、(b)フルオロカーボン含有膜の膜厚を低減させる工程(以下、「膜厚低減工程」ということがある)と、を含み、1回以上のシーケンスを実行する前記工程と膜厚低減工程との交互の繰り返しが実行される。
一態様に係る方法では、フルオロカーボン含有膜を形成するための処理ガスに、酸素ガスが含まれていない。したがって、第2領域が酸化し、酸化した第2領域がフルオロカーボンの活性種によって削られることが抑制される。但し、当該処理ガスは、酸素ガスを含んでいないので、フルオロカーボン含有膜による開口幅の縮小が生じ、場合によっては、開口が閉塞されてしまうこともある。このような開口幅の縮小に対処するために、一態様に係る方法では、膜厚低減工程が実行される。故に、この方法によれば、第1領域のエッチングにおいて、第2領域の削れを抑制し、且つ、フルオロカーボン含有膜による開口幅の縮小を抑制することが可能となる。
一実施形態の膜厚低減工程では、三フッ化窒素ガス及び希ガスを含む処理ガスのプラズマが生成されてもよい。三フッ化窒素ガスが希ガスによって希釈されている場合には、活性種が被処理体に対して小さい角度分布で、略鉛直方向に入射する。したがって、被処理体の水平面上、例えば、マスクの上面の上で厚く形成されたフルオロカーボン含有膜の膜厚を効率的に低減させることが可能となる。
一実施形態の膜厚低減工程では、三フッ化窒素ガスのみを含む処理ガスのプラズマが生成されてもよい。この実施形態では、活性種が等方的に被処理体に入射する。したがって、被処理体の任意の面上に形成されたフルオロカーボン含有膜の膜厚を均一に低減させることが可能となる。
一実施形態では、前記交互の繰り返しに含まれる一部の膜厚低減工程において、三フッ化窒素ガス及び希ガスを含む処理ガスのプラズマが生成され、前記交互の繰り返しに含まれる他の一部の膜厚低減工程において、三フッ化窒素ガスのみを含む処理ガスのプラズマが生成される。この実施形態によれば、被処理体の水平面上に厚く形成されたフルオロカーボン含有膜の膜厚を効率的に低減させ、且つ、被処理体の任意の面上に形成されたフルオロカーボン含有膜の膜厚を均一に低減させることが可能となる。
また、一実施形態の膜厚低減工程では、酸素ガス及び希ガスを含む処理ガスのプラズマが生成されてもよい。一実施形態の膜厚低減工程では、酸素ガスのみを含む処理ガスのプラズマが生成されてもよい。また、一実施形態では、前記交互の繰り返しに含まれる一部の膜厚低減工程において、酸素ガス及び希ガスを含む処理ガスのプラズマが生成され、前記交互の繰り返しに含まれる他の一部の膜厚低減工程において、酸素ガスのみを含む処理ガスのプラズマが生成されてもよい。
一実施形態のフルオロカーボン含有膜を形成する前記工程では、被処理体を収容した処理容器内の圧力が2.666Pa(20mTorr)以下の圧力に設定され得る。この実施形態では、低圧環境下でフルオロカーボンガスのプラズマが生成される。かかる低圧環境下ではフルオロカーボンの活性種は、異方性をもって、即ち、略鉛直方向に小さい角度分で被処理体に入射する。したがって、マスクの上面の上、第2領域の上面の上、及び、第2領域の間の第1領域の上面の上に選択的にフルオロカーボン含有膜が形成される。また、マスクの上面の上、第2領域の上面の上、及び、第2領域の間の第1領域の上面の上に形成されるフルオロカーボン含有膜の厚さの差異が低減される。さらに、このような低圧環境下では、フルオロカーボンの活性種は、アスペクト比の高い開口内及びアスペクト比の低い開口のいずれにも侵入する。したがって、フルオロカーボン含有膜の膜厚のアスペクト比に対する依存性を低減することができる。
一実施形態のフルオロカーボン含有膜を形成する前記工程では、100V以上、300V以下の実効バイアス電圧が生じるプラズマ生成用の高周波電力が用いられ得る。かかる高周波電力によれば、フルオロカーボンのイオンのエネルギーが低減され、当該イオンによる被処理体のダメージを抑制することが可能となる。
一実施形態のフルオロカーボン含有膜を形成する工程では、容量結合型のプラズマ処理装置が用いられ、該プラズマ処理装置の上部電極のシリコン製の電極板に正イオンを引き込むための電圧が印加されてもよい。この実施形態によれば、電極板に正イオンが衝突することによって、当該電極板からシリコンが放出される。放出されたシリコンは、プラズマ処理装置内に存在するフッ素の活性種と結合し、フッ素の活性種の量を減少させる。その結果、第2領域の削れが抑制される。また、正イオンが電極板に向けて引きつけられることにより、天板に付着したフルオロカーボンが除去される。したがって、処理容器の内壁面が清浄な状態に保たれる。
一実施形態の第1領域をエッチングする前記工程では、希ガスのプラズマが生成されてもよい。この実施形態では、希ガスのプラズマが生成されることにより発生する希ガスイオンがフルオロカーボン含有膜に入射し、フルオロカーボン含有膜からラジカルが生成されて、当該ラジカルが第1領域をエッチングする。
一実施形態では、第1領域は、酸化シリコン、酸窒化ケイ素、炭素含有酸化ケイ素から構成され得る。また、一実施形態では、第2領域は、シリコン、炭素、窒化シリコン、又は金属から構成され得る。
以上説明したように、シリコン及び酸素を含む第1領域のエッチングにおいて、当該第1領域とは異なる材料から構成された第2領域の削れを抑制し、且つ、フルオロカーボン含有膜による開口幅の縮小を抑制することが可能となる。
一実施形態に係るエッチング方法を示す流れ図である。 一実施形態に係る方法の適用対象である被処理体を例示する断面図である。 図1に示す方法の実施に用いることが可能なプラズマ処理装置の一例を概略的に示す図である。 方法MTの実施の途中段階における被処理体を示す断面図である。 方法MTの実施の途中段階における被処理体を示す断面図である。 比較のために示す被処理体の断面図である。 方法MTの実施の途中段階における被処理体を示す断面図である。 実験例1に用いたウエハを示す断面図である。 実験例2において測定した寸法を示す断面図である。 実験例4に用いたウエハを示す断面図である。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係るエッチング方法を示す流れ図である。図1に示す方法MTは、被処理体に対するプラズマ処理によって、シリコン原子及び酸素原子を含む第1領域を、当該第1領域とは異なる材料から構成された第2領域に対して選択的にエッチングする方法である。
図2は、一実施形態に係る方法の適用対象である被処理体を例示する断面図である。図2の(a)に示す被処理体、即ちウエハWは、基板SB、第1領域R1、第2領域R2、及びマスクMKを有している。第2領域R2は、基板SB上に設けられており、凹部を画成している。第1領域R1は、シリコン原子及び酸素原子を含んでおり、凹部を埋め、且つ、第2領域R2を覆っている。マスクMKは、第1領域R1上に設けられており、第2領域R2によって画成される凹部の上に開口を提供している。以下、マスクMKによって提供される開口を、「マスク開口」ということがある。
第1領域R1は、例えば、酸化シリコン(SiO)、酸窒化ケイ素(SiON)、又は、炭素含有酸化ケイ素(SiOCH)から構成され得る。第2領域R2は、第1領域R1とは異なる材料から構成されている。第2領域R2は、例えば、シリコン、炭素、窒化シリコン、又は金属から構成され得る。マスクMKは、有機膜、例えば、アモルファスカーボンから構成され得る。
図2の(b)には、被処理体の別の例が示されている。図2の(b)に示すウエハWは、フィン型電界効果トランジスタの製造途中に得られるものである。このウエハWは、図2の(a)に示す被処理体と同様に、基板SB、第1領域R1、第2領域R2、及びマスクMKを有している。また、図2の(b)に示すウエハWは、隆起領域RAを更に有している。隆起領域RAは、基板SBから隆起するように設けられている。この隆起領域RAは、例えば、ゲート領域を構成し得る。第2領域R2は、隆起領域RAの表面、及び、基板SBの表面に沿って延在している。図2の(b)に示すウエハWでは、第1領域R1は、酸化シリコンから構成され、第2領域R2は、窒化シリコンから構成される。以下、図2の(b)に示す被処理体を例にとって、方法MTについて詳細に説明する。
方法MTでは、最初の工程ST1の実行前に、ウエハWがプラズマ処理装置の処理容器内に収容される。図3は、図1に示す方法の実施に用いることが可能なプラズマ処理装置の一例を概略的に示す図である。図3に示すプラズマ処理装置10は、容量結合型プラズマエッチング装置であり、略円筒状の処理容器12を備えている。処理容器12の内壁面は、例えば、陽極酸化処理されたアルミニウムから構成されている。この処理容器12は保安接地されている。
処理容器12の底部上には、略円筒状の支持部14が設けられている。支持部14は、例えば、絶縁材料から構成されている。支持部14は、処理容器12内において、処理容器12の底部から鉛直方向に延在している。また、処理容器12内には、載置台PDが設けられている。載置台PDは、支持部14によって支持されている。
載置台PDは、その上面においてウエハWを保持する。載置台PDは、下部電極LE及び静電チャックESCを有している。下部電極LEは、第1プレート18a及び第2プレート18bを含んでいる。第1プレート18a及び第2プレート18bは、例えばアルミアルミニウムといった金属から構成されており、略円盤形状をなしている。第2プレート18bは、第1プレート18a上に設けられており、第1プレート18aに電気的に接続されている。
第2プレート18b上には、静電チャックESCが設けられている。静電チャックESCは、導電膜である電極を一対の絶縁層又は絶縁シート間に配置した構造を有している。静電チャックESCの電極には、直流電源22がスイッチ23を介して電気的に接続されている。この静電チャックESCは、直流電源22からの直流電圧により生じたクーロン力等の静電力によりウエハWを吸着する。これにより、静電チャックESCは、ウエハWを保持することができる。
第2プレート18bの周縁部上には、ウエハWのエッジ及び静電チャックESCを囲むようにフォーカスリングFRが配置されている。フォーカスリングFRは、エッチングの均一性を向上させるために設けられている。フォーカスリングFRは、エッチング対象の膜の材料によって適宜選択される材料から構成されており、例えば、石英から構成され得る。
第2プレート18bの内部には、冷媒流路24が設けられている。冷媒流路24は、温調機構を構成している。冷媒流路24には、処理容器12の外部に設けられたチラーユニットから配管26aを介して冷媒が供給される。冷媒流路24に供給された冷媒は、配管26bを介してチラーユニットに戻される。このように、冷媒流路24とチラーユニットとの間では、冷媒が循環される。この冷媒の温度を制御することにより、静電チャックESCによって支持されたウエハWの温度が制御される。
また、プラズマ処理装置10には、ガス供給ライン28が設けられている。ガス供給ライン28は、伝熱ガス供給機構からの伝熱ガス、例えばHeガスを、静電チャックESCの上面とウエハWの裏面との間に供給する。
また、プラズマ処理装置10は、上部電極30を備えている。上部電極30は、載置台PDの上方において、当該載置台PDと対向配置されている。下部電極LEと上部電極30とは、互いに略平行に設けられている。これら上部電極30と下部電極LEとの間には、ウエハWにプラズマ処理を行うための処理空間Sが提供されている。
上部電極30は、絶縁性遮蔽部材32を介して、処理容器12の上部に支持されている。一実施形態では、上部電極30は、載置台PDの上面、即ち、ウエハ載置面からの鉛直方向における距離が可変であるように構成され得る。上部電極30は、電極板34及び電極支持体36を含み得る。電極板34は処理空間Sに面しており、当該電極板34には複数のガス吐出孔34aが設けられている。この電極板34は、一実施形態では、シリコンから構成されている。
電極支持体36は、電極板34を着脱自在に支持するものであり、例えばアルミニウムといった導電性材料から構成され得る。この電極支持体36は、水冷構造を有し得る。電極支持体36の内部には、ガス拡散室36aが設けられている。このガス拡散室36aからは、ガス吐出孔34aに連通する複数のガス通流孔36bが下方に延びている。また、電極支持体36には、ガス拡散室36aに処理ガスを導くガス導入口36cが形成されており、このガス導入口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群42及び流量制御器群44を介して、ガスソース群40が接続されている。ガスソース群40は、フルオロカーボンガスのソース、希ガスのソース、三フッ化窒素(NF)ガスのソース、及び、酸素(O)ガスのソースといった複数のガスソースを含んでいる。フルオロカーボンガスは、例えば、Cガス及びCガスのうち少なくとも一種を含むガスである。また、希ガスは、Arガス、Heガスといった種々の希ガスのうち少なくとも一種を含むガスである。
バルブ群42は複数のバルブを含んでおり、流量制御器群44はマスフローコントローラといった複数の流量制御器を含んでいる。ガスソース群40の複数のガスソースはそれぞれ、バルブ群42の対応のバルブ及び流量制御器群44の対応の流量制御器を介して、ガス供給管38に接続されている。
また、プラズマ処理装置10では、処理容器12の内壁に沿ってデポシールド46が着脱自在に設けられている。デポシールド46は、支持部14の外周にも設けられている。デポシールド46は、処理容器12にエッチング副生物(デポ)が付着することを防止するものであり、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。
処理容器12の底部側、且つ、支持部14と処理容器12の側壁との間には排気プレート48が設けられている。排気プレート48は、例えば、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。この排気プレート48の下方、且つ、処理容器12には、排気口12eが設けられている。排気口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、ターボ分子ポンプなどの真空ポンプを有しており、処理容器12内の空間を所望の真空度まで減圧することができる。また、処理容器12の側壁にはウエハWの搬入出口12gが設けられており、この搬入出口12gはゲートバルブ54により開閉可能となっている。
また、プラズマ処理装置10は、第1の高周波電源62及び第2の高周波電源64を更に備えている。第1の高周波電源62は、プラズマ生成用の高周波電力を発生する電源であり、27〜100MHzの周波数の高周波電力を発生する。以下、本明細書において、「高周波電力」との語は、プラズマ生成用の高周波電力を表す。第1の高周波電源62は、整合器66を介して下部電極LEに接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスを整合させるための回路である。なお、第1の高周波電源62は、整合器66を介して上部電極30に接続されていてもよい。
第2の高周波電源64は、ウエハWにイオンを引き込むための高周波バイアス電力を発生する電源であり、400kHz〜13.56MHzの範囲内の周波数の高周波バイアス電力を発生する。第2の高周波電源64は、整合器68を介して下部電極LEに接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスを整合させるための回路である。
また、プラズマ処理装置10は、電源70を更に備えている。電源70は、上部電極30に接続されている。電源70は、処理空間S内に存在する正イオンを電極板34に引き込むための電圧を、上部電極30に印加する。一例においては、電源70は、負の直流電圧を発生する直流電源である。別の一例において、電源70は、比較的低周波の交流電圧を発生する交流電源であってもよい。電源70から上部電極に印加される電圧は、−150V以下の電圧であり得る。即ち、電源70によって上部電極30に印加される電圧は、絶対値が150以上の負の電圧であり得る。このような電圧が電源70から上部電極30に印加されると、処理空間Sに存在する正イオンが、電極板34に衝突する。これにより、電極板34から二次電子及び/又はシリコンが放出される。放出されたシリコンは、処理空間S内に存在するフッ素の活性種と結合し、フッ素の活性種の量を低減させる。
また、一実施形態においては、プラズマ処理装置10は、制御部Cntを更に備え得る。この制御部Cntは、プロセッサ、記憶部、入力装置、表示装置等を備えるコンピュータであり、プラズマ処理装置10の各部を制御する。この制御部Cntでは、入力装置を用いて、オペレータがプラズマ処理装置10を管理するためにコマンドの入力操作等を行うことができ、また、表示装置により、プラズマ処理装置10の稼働状況を可視化して表示することができる。さらに、制御部Cntの記憶部には、プラズマ処理装置10で実行される各種処理をプロセッサにより制御するための制御プログラムや、処理条件に応じてプラズマ処理装置10の各部に処理を実行させるためのプログラム、即ち、処理レシピが格納される。
以下、再び図1を参照して、方法MTについて詳細に説明する。また、以下の説明では、図4〜図7を適宜参照する。図4、図5、及び図7は、方法MTの実施の途中段階における被処理体を示す断面図である。図6は、比較のために示す被処理体の断面図である。
図1に示すように、方法MTでは、工程ST1及び工程ST2を各々が含む1回以上のシーケンスSQと工程ST3との交互の繰り返しARPが実行される。方法MTでは、まず、工程ST1が実行される。工程ST1では、フルオロカーボン含有膜がウエハWの表面上に形成される。このため、工程ST1では、プラズマ処理容装置の処理容器内に、処理ガスが供給され、当該処理ガスのプラズマが生成される。工程ST1で用いられる処理ガスは、フルオロカーボンガス(CxFyガス)を含み、酸素ガス(Oガス)を含まない処理ガスである。フルオロカーボンガスは、例えば、Cガス及びCガスのうち少なくとも一種を含む。また、この処理ガスは、Arガス、Heガスといった種々の希ガスのうち少なくとも一種を含み得る。また、処理ガス中のフルオロカーボンガスの流量は、例えば、4sccm〜12sccmの範囲内の流量、或いは2sccm〜6sccmの範囲内の流量であり得る。
プラズマ処理装置10を用いて工程ST1を実施する場合には、処理ガスがガスソース群40から処理容器12内に供給される。また、工程ST1では、第1の高周波電源62からの高周波電力が下部電極LEに供給される。なお、工程ST1では、第2の高周波電源64から下部電極LEには高周波バイアス電力が供給されてもよく、或いは、供給されなくてもよい。一実施形態の工程ST1では、第2の高周波電源64から下部電極LEに供給される高周波バイアス電力は0Wであり得る。また、工程ST1では、排気装置50によって処理容器12内の空間の圧力が所定の圧力に設定される。これにより、処理容器12内において処理ガスのプラズマが生成される。
工程ST1では、フルオロカーボンの活性種がウエハWの表面に入射する。これにより、第1領域R1が僅かにエッチングされる。また、ウエハWの表面にフルオロカーボン含有膜FLが形成される。図4の(a)に示す断面図は、方法MTの実施が進行し、マスク開口から露出された部分において第1領域R1がエッチングされて、上部開口OP1が形成され、さらに、第2領域R2によって画成される凹部内まで第1領域R1のエッチングが進行して、第2領域R2によって挟まれた部分に上部開口OP1に連続する下部開口OP2が形成された状態を示している。この状態では、工程ST1の実行により、ウエハWの表面、即ち、マスクMKの上面及び側面、マスクMKと第2領域R2との間に残された第1領域R1の側面、第2領域R2の表面、及び、第2領域R2によって画成された凹部内に存在する第1領域R1の上面上にフルオロカーボン含有膜FLが形成される。
続く工程ST2では、フルオロカーボン含有膜FL中のラジカルによって第1領域R1がエッチングされる。このため、工程ST2では、プラズマ処理容装置の処理容器内で希ガス、例えば、Arガスのプラズマが生成される。この工程ST2の処理時間と工程ST1の処理時間は任意に設定され得る。一実施形態においては、工程ST1の処理時間と工程ST2の処理時間の合計において工程ST1の処理時間が占める割合は、30%〜70%の範囲内の割合に設定され得る。
プラズマ処理装置10を用いて工程ST2を実施する場合には、ガスソース群40から希ガスが供給される。また、工程ST2では、第1の高周波電源62からの高周波電力が下部電極LEに供給される。なお、工程ST2では、第2の高周波電源64から下部電極LEには高周波バイアス電力が供給されてもよく、或いは、供給されなくてもよい。一実施形態の工程ST2では、第2の高周波電源64から下部電極LEに供給される高周波バイアス電力は0Wであり得る。また、工程ST2では、排気装置50によって処理容器12内の空間の圧力が所定の圧力に設定される。例えば、処理容器12内の空間の圧力は、20mTorr(2.666Pa)〜50mTorr(6.666Pa)の範囲内の圧力、例えば30mTorr(4Pa)以下の圧力に設定される。これにより、処理容器12内において希ガスのプラズマが生成され、載置台PD上に載置されたウエハWが希ガス原子のイオンが照射される。
工程ST2では、希ガス原子の活性種、例えば、希ガス原子のイオンが、フルオロカーボン含有膜FLに照射される。これにより、フルオロカーボン含有膜FL中のフルオロカーボンラジカルが、図4の(b)に示すように、第1領域R1のエッチングを進行させる。また、この工程ST2により、フルオロカーボン含有膜FLの膜厚が減少する。
方法MTでは、工程ST1及び工程ST2を含むシーケンスSQが1回以上実行される。そして、工程STaにおいて、停止条件が満たされるか否かが判定される。例えば、工程STaでは、シーケンスSQの実行回数が予め設定された回数に至っている場合には、停止条件が満たされるものと判定される。工程STaにおいて停止条件が満たされないと判定される場合には、シーケンスSQが再び実行される。一方、工程STaにおいて停止条件が満たされると判定される場合には、工程STbが実行される。工程STb以後の工程については、後述する。
上述したように、工程ST1で用いられる処理ガスは、酸素ガスを含んでいない。したがって、第2領域R2が酸化し、酸化した第2領域R2がフルオロカーボンの活性種によって削られることが抑制される。但し、工程ST1で用いられる当該処理ガスは、酸素ガスを含んでいないので、シーケンスSQが1回以上実行されると、フルオロカーボン含有膜FLによって、上部開口OP1及び下部開口OP2といった開口の幅の縮小が生じ、場合によっては、当該開口が閉塞され得る。
上記開口の幅の縮小に対する一つの対策として、一実施形態の工程ST1では、プラズマ処理装置の処理容器内の圧力が20mTorr(2.666Pa)以下の圧力に設定される。さらに、工程ST1において処理容器内に供給される処理ガスの全流量に対してフルオロカーボンガスの流量が占める割合は0.1%以上1%以下の範囲の割合に設定される。このようにフルオロカーボンガスが希釈された処理ガスのプラズマを低圧環境下で生成すると、フルオロカーボンの活性種は異方性をもって、即ち、小さい角度分布をもって略鉛直方向にウエハWに入射する。したがって、マスクMKの上面の上、第2領域R2の上面の上、及び、第2領域R2によって挟まれた第1領域R1の上面の上に、他の表面よりもフルオロカーボン含有膜FLが厚く形成される。即ち、マスクMKの上面の上、第2領域R2の上面の上、及び、第2領域R2によって挟まれた第1領域R1の上面の上に選択的にフルオロカーボン含有膜FLが形成される。これにより、開口の幅の縮小が抑制される。
また、このような低圧環境下では、フルオロカーボンの活性種は、比較的狭い開口内及び比較的広い開口のいずれにも侵入する。以下、図5及び図6を参照して具体的に説明する。ウエハWは、図5の(a)及び図6の(a)に示すように、その上に設けられたマスクMKのマスク開口の幅が狭く、高いアスペクト比の上部開口OP1が形成される領域(以下、「高AR領域」という)と、図5の(b)及び図6の(b)に示すように、その上に設けられたマスクMKのマスク開口の幅が広く、低いアスペクト比の上部開口OP1が形成される領域(以下、「低AR領域」という)の双方を有することがある。
このようなウエハWに対して、高圧条件下で生成された処理ガスのプラズマを用いると、マスクMKの上面に対して多量のフルオロカーボンの活性種が供給され、高AR領域の上部開口OP1内へのフルオロカーボンの活性種の侵入が妨げられる。その結果、図6の(a)に示すように、マスクMKの上面の上には厚いフルオロカーボン含有膜FLが形成されるが、第2領域R2の表面、及び、当該第2領域R2によって挟まれた第1領域R1の上面の上に形成されるフルオロカーボン含有膜の膜厚が不足する。これにより、第2領域R2の削れ、及び、第1領域R1のエッチングの停止が発生する。また、高圧条件下で生成された処理ガスのプラズマを用いると、低AR領域の上部開口OP1内へはフルオロカーボンの活性種が多量に侵入する。その結果、図6の(b)に示すように、第2領域R2の表面、及び、当該第2領域R2によって挟まれた第1領域R1の上面の上に過剰に厚いフルオロカーボン含有膜FLが形成される。その結果、第1領域R1のエッチングが停止する。
一方、一実施形態の工程ST1では、低圧条件下で処理ガスのプラズマが生成される。低圧条件下では、生成されたプラズマに基づくフルオロカーボンの活性種は、高AR領域及び低AR領域の何れにおいても、上部開口OP1内に容易に侵入する。したがって、図5の(a)及び図5の(b)に示すように、高AR領域のウエハWの表面に形成されるフルオロカーボン含有膜FLの膜厚と、低AR領域のウエハWの表面に形成されるフルオロカーボン含有膜FLの膜厚との差が低減される。即ち、フルオロカーボン含有膜FLの膜厚のアスペクト比に対する依存性が低減される。また、図5の(a)及び図5の(b)に示すように、マスクMKの上面の上に形成されるフルオロカーボン含有膜FLの膜厚と、第2領域R2に挟まれた第1領域R1の上面の上に形成されるフルオロカーボン含有膜FLの膜厚の差異が、高AR領域及び低AR領域の双方において低減される。
但し、低圧条件下において処理ガスのプラズマが生成されると、フルオロカーボンのイオンのエネルギーが高くなり、ウエハWにダメージを与え得る。例えば、第2領域R2にダメージが加わり得る。そのため、一実施形態の工程ST1では、100V以上、300V以下の実効バイアス電圧が生じる電力に、プラズマ生成用の高周波電力が設定される。例えば、プラズマ処理装置10において、かかる実効電圧を生じ得るプラズマ生成用の高周波電力は、300Wより小さい電力であり得る。これにより、低圧条件下で生成されたフルオロカーボンのイオンのエネルギーが低減され、ウエハWに対するダメージが抑制される。
また、一実施形態の工程ST1では、プラズマ生成用の高周波電力がパルス状に変調されてもよい。即ち、工程ST1において、プラズマ生成用の高周波電力が第1のレベルと当該第1のレベルよりも低い第2のレベルとに交互に切り換えられてもよい。高周波電力が第1のレベルをとる第1の期間と第2のレベルをとる第2の期間を1周期とするパルス変調の周波数は、例えば、2kHz〜40kHzの周波数に設定され得る。また、1周期内において第1の期間が占める割合、即ちデューティ比は、20%以上、80%以下の比に設定され得る。このようにプラズマ生成用の高周波電力がパルス変調されることにより、第2の期間中にイオンフラックスよりもラジカルフラックスが遅く減衰する。したがって、ラジカルのみが照射される時間が存在することによりイオンフラックスの比率が低くなる。即ち、イオンよりもラジカルが多く生成される。これにより、第1領域R1のエッチングが進行し、第2領域R2が露出された状態、即ち、フルオロカーボン含有膜FLによって覆われていない状態の第2領域R2がエッチングされることが抑制される。
また、一実施形態の工程ST1では、上部電極30に電源70からの電圧が印加されてもよい。電源70によって上部電極30に印加される電圧は、−150V以下の電圧であり得る。即ち、電源70によって上部電極30に印加される電圧は、絶対値が150V以上の負の電圧であり得る。このように上部電極30に電源70からの電圧が印加されると、電極板34に正イオンが衝突する。これにより、電極板34からシリコンが放出される。放出されたシリコンは、プラズマ処理装置内に存在するフッ素の活性種と結合し、フッ素の活性種の量を減少させる。その結果、第2領域R2の削れが抑制される。また、正イオンが電極板34に向けて引きつけられることにより、電極板34の表面に付着したフルオロカーボンが除去される。したがって、処理容器の内壁面が清浄な状態に保たれる。
また、一実施形態の工程ST2では、処理容器内の圧力が30mTorr(4Pa)以下に設定される。また、高周波バイアス電力が0Wに設定され得る。このような条件では、希ガスイオンのウエハWに対する入射角の分布が小さくなり、即ち、イオンが小さい角度分布で略鉛直方向にウエハWに入射する。これにより、第1領域R1を効率的にエッチングすることが可能となる。さらに、第2領域R2のダメージが抑制される。
また、一実施形態の工程ST2では、上部電極30に電源70からの電圧が印加されてもよい。電源70によって上部電極30に印加される電圧は、−150V以下の電圧であり得る。即ち、電源70によって上部電極30に印加される電圧は、絶対値が150V以上の負の電圧であり得る。工程ST2の実行期間中には、工程ST1において生成されたフッ素の活性種が除去されずに処理容器12内に残存し得る。工程ST2において上部電極30に電源70からの電圧が印加されることにより、電極板34から放出されたシリコンは、処理空間S内に存在するフッ素の活性種と結合し、フッ素の活性種の量を低減させる。これにより、フッ素の活性種による第2領域R2のエッチングが抑制される。
再び図1を参照する。工程STbでは、終了条件が満たされるか否かが判定される。例えば、工程STbでは、1回以上のシーケンスSQと後述する工程ST3との交互の繰り返しARPの回数が所定回数に至っている場合に、終了条件が満たされるものと判定される。工程STbにおいて終了条件が満たされないと判定される場合には、工程ST3が実行される。工程ST3については、後述する。一方、工程STbにおいて終了条件が満たされると判定される場合には、方法MTが終了する。なお、繰り返しARPにおけるシーケンスSQの実行回数は異なっていてもよい。例えば、繰り返しARPにおいて後に行われるシーケンスSQの実行回数が先に行われるシーケンスSQの実行回数よりも少なくてもよい。また、繰り返しARPにおいてシーケンスSQの実行回数が段階的に減少されてもよい。
上述したようにシーケンスSQの1回以上の実行により、図7の(a)に示すように、フルオロカーボン含有膜FLの膜厚が大きくなり、当該フルオロカーボン含有膜FLが開口の幅の縮小を生じさせる。この開口の幅の縮小に対する別の対策として、方法MTでは、工程ST3が実行される。工程ST3では、フルオロカーボン含有膜FLの膜厚を低減させる処理が行われる。これにより、図7の(b)に示すように、フルオロカーボン含有膜FLの膜厚が減少し、フルオロカーボン含有膜FLによる開口の幅の縮小が防止される。
工程ST3では、プラズマ処理装置の処理容器内において、フルオロカーボン含有膜FLをエッチングし得る処理ガスのプラズマが生成される。この工程ST3の処理時間は任意に設定され得る。プラズマ処理装置10を用いて工程ST3を実施する場合には、ガスソース群40から処理ガス供給される。また、工程ST3では、第1の高周波電源62からの高周波電力が下部電極LEに供給される。なお、工程ST3では、第2の高周波電源64から下部電極LEには高周波バイアス電力が供給されてもよく、或いは、供給されなくてもよい。一実施形態の工程ST3では、第2の高周波電源64から下部電極LEに供給される高周波バイアス電力は0Wであり得る。また、工程ST3では、排気装置50によって処理容器12内の空間の圧力が所定の圧力に設定される。これにより、処理容器12内において処理ガスのプラズマが生成され、載置台PD上に載置されたウエハWに活性種が照射される。
一実施形態の工程ST3では、三フッ化窒素(NF)ガス及び希ガスを含む処理ガスのプラズマが生成されてもよい。三フッ化窒素ガスが希ガスによって希釈されている場合には、活性種がウエハWに対して狭い角度分布で、略鉛直方向に入射する。したがって、ウエハWの水平面上、例えば、マスクMKの上面の上で厚く形成されたフルオロカーボン含有膜の膜厚を効率的に低減させることが可能となる。なお、三フッ化窒素ガス及び希ガスを含む処理ガスの全流量中における三フッ化窒素ガスの流量の割合は、例えば、0.3%〜10%の範囲内の割合であり得る。
一実施形態の工程ST3では、三フッ化窒素ガスのみを含む処理ガスのプラズマが生成されてもよい。この実施形態では、活性種が等方的にウエハWに入射する。したがって、ウエハWの任意の面上に形成されたフルオロカーボン含有膜の膜厚を均一に低減させることが可能となる。図7の(a)に示すように、フルオロカーボン含有膜FLは、マスクMKの上面、第2領域R2の上面、及び第1領域R1の上面のみならず、下部開口OP2を画成する側壁面に沿って形成される。側壁面に沿って形成された過剰なフルオロカーボン含有膜FLは、第2領域R2の底部側の隅部に第1領域R1の残渣を発生させ得る。この実施形態では、活性種をウエハWに等方的に入射させることにより、側壁面に沿って形成された過剰なフルオロカーボン含有膜FLの膜厚が低減される。したがって、第1領域R1の残渣の発生が抑制される。その結果、下部開口OP2の深部における幅を広げることが可能となる。
一実施形態では、シーケンスSQの1回以上の実行と工程ST3との実行との交互の繰り返しARPに含まれる一部の工程ST3において、三フッ化窒素ガス及び希ガスを含む処理ガスのプラズマが生成され、当該交互の繰り返しARPに含まれる他の一部の工程ST3において、三フッ化窒素ガスのみを含む処理ガスのプラズマが生成されてもよい。例えば、繰り返しARPにおいて、三フッ化窒素ガス及び希ガスを含む処理ガスのプラズマを生成する程ST3と、三フッ化窒素ガスのみを含む処理ガスのプラズマを生成する工程ST3とが交互に実行されてもよい。この実施形態によれば、ウエハWの水平面上に厚く形成されたフルオロカーボン含有膜FLの膜厚を効率的に低減させ、且つ、ウエハWの任意の面上に形成されたフルオロカーボン含有膜FLの膜厚を均一に低減させることが可能となる。
また、工程ST3では、酸素ガス(Oガス)及び希ガスを含む処理ガスのプラズマが生成されてもよい。即ち、フルオロカーボン含有膜のエッチングに寄与する処理ガスとして、三フッ化窒素ガスに代えて酸素ガスが用いられてもよい。一実施形態の工程ST3では、酸素ガスのみを含む処理ガスのプラズマが生成されてもよい。また、一実施形態では、繰り返しARPに含まれる一部の工程ST3において、酸素ガス及び希ガスを含む処理ガスのプラズマが生成され、繰り返しARPに含まれる他の一部の工程ST3において、酸素ガスのみを含む処理ガスのプラズマが生成されてもよい。例えば、繰り返しARPにおいて、酸素ガス及び希ガスを含む処理ガスのプラズマを生成する程ST3と、酸素ガスのみを含む処理ガスのプラズマを生成する工程ST3とが交互に実行されてもよい。このように、工程ST3の種々の実施形態では、三フッ化窒素ガスに代えて酸素ガスが用いられてもよい。
以下、方法MTの評価のために行った種々の実験について説明するが、本発明は以下の実験例によって限定されるものではない。
(実験例1)
実験例1では、図8の(a)に示すウエハW1を準備した。ウエハW1は、基板100上に、200nmの厚さのシリコン酸化膜102を有し、シリコン酸化膜102上に、40nmの幅の開口を提供するアモルファスカーボン製のマスク104を有するものであった。このウエハW1に対して、実験例1では、表1に示す条件の方法MTをプラズマ処理装置10を用いて適用した。具体的に、実験例1では、工程ST1及び工程ST2を含むシーケンスSQを30回実行し、次いで、工程ST3と20回のシーケンスSQとを交互に4回実行した。また、比較のため、ウエハW1に対して、表1に示す比較実験例1及び比較実験例2の処理をプラズマ処理装置10を用いて適用した。比較実験例1では、酸素ガスを含む処理ガスを用いてフルオロカーボン含有膜を形成する工程とArガスのプラズマにウエハW1を晒す工程とを交互に30回実行した。また、比較実験例2では、実験例1の工程ST1及び工程ST2と同様の二つの工程を交互に30回実行し、工程ST3は実行しなかった。なお、実験例1、比較実験例1、及び比較実験例2の全ての工程において、高周波バイアス電力を0Wに設定した。
Figure 2016111177
そして、図8の(b)に示すように、シリコン酸化膜102に形成された開口OPの底部における幅WBを測定した。測定の結果、比較実験例1では、幅WBは16nmであった。比較実験例2では、フルオロカーボン含有膜によって開口が閉塞され、シリコン酸化膜102のエッチングが途中で停止した。また、実験例1では、幅WBは18nmであった。比較実験例2の結果と実験例1の結果を比較すれば明らかなように、工程ST1に酸素ガスを含まない処理ガスを用いても、工程ST3を含む実験例1では、開口OPを閉塞させることなく、深部においても広い幅を有する開口OPを形成することが可能であることが確認された。
(実験例2)
実験例2では、図2の(b)に示したウエハWに対して、表2に示す条件の方法MTをプラズマ処理装置10を用いて適用した。具体的に、実験例2では、工程ST1及び工程ST2を含むシーケンスSQを30回実行し、次いで、工程ST3を実行し、次いで、シーケンスSQを20回実行した。また、比較のため、図2の(b)に示したウエハWに対して、表2に示す比較実験例3の処理をプラズマ処理装置10を用いて適用した。比較実験例3では、酸素ガスを含む処理ガスを用いてフルオロカーボン含有膜を形成する工程とArガスのプラズマにウエハWを晒す工程とを交互に30回実行した。なお、実験例2、及び比較実験例3の全ての工程において、高周波バイアス電力を0Wに設定した。また、実験例2及び比較実験例3に用いたウエハWの第1領域R1は酸化シリコン製であり、第2領域R2は窒化シリコン製であった。
Figure 2016111177
そして、図9に示すように、マスクMKの縁部直下での第2領域R2の膜厚減少量D1、及び、第2領域R2の肩部での膜厚減少量D2を測定した。測定の結果、比較実験例3では、膜厚減少量D1、膜厚減少量D2はそれぞれ7.1nm、10.3nmであった。一方、実験例2では、膜厚減少量D1、膜厚減少量D2はそれぞれ、4.4nm、4.4nmであった。したがって、工程ST1において酸素ガスを含まない処理ガスを用いた実験例2によれば、酸素ガスを含む処理ガスを用いた比較実験例3よりも、第2領域R2の削れが抑制されることが確認された。
(実験例3)
実験例3では、実験例1に用いたウエハW1と同様のウエハに対して、表3に示す条件の方法MTを、プラズマ処理装置10を用いて適用した。具体的には、工程ST1と工程ST2を含むシーケンスの実行と工程ST3の実行との繰り返しARPに含まれる一部の工程ST3では、NFガスとArガスを含む処理ガスを用い、繰り返しARPに含まれる他の一部の工程ST3では、NFガスのみを含む処理ガスを用いた。なお、実験例3の全ての工程において、高周波バイアス電力を0Wに設定した。
Figure 2016111177
そして、実験例1と同様に幅WBを測定した。測定の結果、実験例3では、幅WBは25nmであった。したがって、繰り返しARPに含まれる一部の工程ST3において、NFガスのみを含む処理ガスを用いた実験例3では、実験例1よりも、開口の深部における幅を広げることが可能であることが確認された。
(実験例4)
実験例4では、図10の(a)に示すウエハW2、及び図10の(b)に示すウエハW3を準備した。ウエハW2は、基板110上に、250nmの厚さのシリコン酸化膜112を有し、シリコン酸化膜112上に、アモルファスカーボン製のマスク114を有するものであった。また、ウエハW2のシリコン酸化膜112にはマスク114のマスク開口に連続する低アスペクト比の開口OPLを予め形成しておいた。開口OPLの幅は、250nmであり、開口OPLの深さは250nmであった。ウエハW3は、基板120上に、200nmの厚さのシリコン酸化膜122を有し、シリコン酸化膜122上に、アモルファスカーボン製のマスク124を有するものであった。また、ウエハW3のシリコン酸化膜122にはマスク124のマスク開口に連続する高アスペクト比の開口OPHを予め形成しておいた。開口OPHの幅は、40nmであり、開口OPLの深さは250nmであった。実験例4では、これらウエハW2及びウエハW3に対して表4に示す条件の処理を、プラズマ処理装置10を用いて適用した。具体的には、フルオロカーボンガスを含み酸素ガスを含まない処理ガスのプラズマを、100Wのプラズマ生成用の高周波電力を用い15mTorrの圧力(即ち、20mTorr以下の低圧)で生成し、フルオロカーボン含有膜FLを形成した。また、比較のため、表4に示す比較実験例4及び比較実験例5の処理をプラズマ処理装置10を用いてウエハW2及びウエハW3に適用した。比較実験例4では、実験例4と同様の処理ガスのプラズマを、比較的高い300Wの高周波電力を用い、20mTorrよりも高い30mTorrの圧力で生成し、フルオロカーボン含有膜FLを形成した。また、比較実験例5では、実験例4と同様の処理ガスのプラズマを、15mTorrの圧力(即ち、20mTorr以下の低圧)で生成し、フルオロカーボン含有膜FLを形成したが、当該プラズマを300Wの高周波電力を用いて生成した。なお、実験例4、比較実験例4、及び比較実験例5の全ての工程において、高周波バイアス電力を0Wに設定した。
Figure 2016111177
そして、ウエハW2の開口OPLの深部に形成されたフルオロカーボン含有膜FLの膜厚T1、及び、ウエハW3の開口OPHの深部に形成されたフルオロカーボン含有膜FLの膜厚T2を測定した。測定の結果、比較実験例4では、膜厚T1、膜厚T2はそれぞれ44nm、21nmであった。比較実験例5では、膜厚T1は31nmであったが、開口OPHの深部ではシリコン酸化膜122がエッチングされ、フルオロカーボン含有膜は形成されなかった。また、実験例4では、膜厚T1、膜厚T2はそれぞれ25nm、17nmであった。実験例4の膜厚T1、膜厚T2と比較実験例4の膜厚T1、膜厚T2を比較すれば明らかなように、20mTorr(2.666Pa)以下の低圧でプラズマを生成することにより、高アスペクト比の開口の深部に形成されるフルオロカーボン含有膜の膜厚と低アスペクト比の開口の深部に形成されるフルオロカーボン含有膜の膜厚の差異を低減することが可能であることが確認された。また、実験例4の膜厚T1、膜厚T2と比較実験例5の膜厚T1、膜厚T2を比較すれば明らかなように、20mTorr(2.666Pa)以下の低圧で低い実効バイアス電圧を生じる高周波電力、例えば100Wの高周波電力を用いてプラズマを生成することにより、ウエハに加わるダメージを抑制しつつフルオロカーボン含有膜を形成することが可能であることが確認された。
以上、種々の実施形態について説明してきたが、上述した実施形態に限定されることなく種々の変形態様を構成可能である。例えば、方法MTの実施には、下部電極LEにプラズマ生成用の高周波電力が供給されているが、当該高周波電力は上部電極に供給されてもよい。また、方法MTの実施には、プラズマ処理装置10以外のプラズマ処理装置を用いることができる。具体的には、誘導結合型のプラズマ処理装置、又は、マイクロ波といった表面波によってプラズマを生成するプラズマ処理装置のように、任意のプラズマ処理装置を用いて方法MTを実施することが可能である。
10…プラズマ処理装置、12…処理容器、PD…載置台、ESC…静電チャック、LE…下部電極、30…上部電極、34…電極板、40…ガスソース群、50…排気装置、62…第1の高周波電源、64…第2の高周波電源、70…電源、W…ウエハ、R1…第1領域、R2…第2領域、MK…マスク、FL…フルオロカーボン含有膜。

Claims (13)

  1. 被処理体に対するプラズマ処理によって、シリコン原子及び酸素原子を含む第1領域を該第1領域とは異なる材料から構成された第2領域に対して選択的にエッチングする方法であって、該被処理体は、凹部を画成する前記第2領域、該凹部を埋め、且つ前記第2領域を覆うように設けられた前記第1領域、及び前記凹部の上に開口を提供し前記第1領域上に設けられたマスクを有し、
    該方法は、
    フルオロカーボンガスを含み酸素ガスを含まない処理ガスのプラズマを生成することにより、前記被処理体上にフルオロカーボン含有膜を形成する工程と、
    前記フルオロカーボン含有膜に含まれるフルオロカーボンのラジカルにより前記第1領域をエッチングする工程と、
    を各々が含む1回以上のシーケンスを実行する工程と、
    前記フルオロカーボン含有膜の膜厚を低減させる工程と、
    を含み、
    前記1回以上のシーケンスを実行する工程と前記膜厚を低減させる工程との交互の繰り返しが実行される、方法。
  2. 前記膜厚を低減させる工程では、三フッ化窒素ガスのみを含む処理ガスのプラズマが生成される、請求項1に記載の方法。
  3. 前記膜厚を低減させる工程では、三フッ化窒素ガス及び希ガスを含む処理ガスのプラズマが生成される、請求項1に記載の方法。
  4. 前記交互の繰り返しに含まれる一部の前記膜厚を低減させる前記工程において、三フッ化窒素ガス及び希ガスを含む処理ガスのプラズマが生成され、
    前記交互の繰り返しに含まれる他の一部の前記膜厚を低減させる前記工程において、三フッ化窒素ガスのみを含む処理ガスのプラズマが生成される、
    請求項1に記載の方法。
  5. 前記膜厚を低減させる工程では、酸素ガスのみを含む処理ガスのプラズマが生成される、請求項1に記載の方法。
  6. 前記膜厚を低減させる工程では、酸素ガス及び希ガスを含む処理ガスのプラズマが生成される、請求項1に記載の方法。
  7. 前記交互の繰り返しに含まれる一部の前記膜厚を低減させる前記工程において、酸素ガス及び希ガスを含む処理ガスのプラズマが生成され、
    前記交互の繰り返しに含まれる他の一部の前記膜厚を低減させる前記工程において、酸素ガスのみを含む処理ガスのプラズマが生成される、
    請求項1に記載の方法。
  8. 前記フルオロカーボン含有膜を形成する工程では、前記被処理体を収容した処理容器内の圧力が2.666Pa以下の圧力に設定される、請求項1〜7の何れか一項に記載の方法。
  9. 前記フルオロカーボン含有膜を形成する工程では、100V以上、300V以下の実効バイアス電圧が生じるプラズマ生成用の高周波電力が用いられる、請求項1〜8の何れか一項に記載の方法。
  10. 前記フルオロカーボン含有膜を形成する工程では、容量結合型のプラズマ処理装置が用いられ、該プラズマ処理装置の上部電極のシリコン製の電極板に正イオンを引き込むための電圧が印加される、請求項1〜9の何れか一項に記載の方法。
  11. 前記第1領域をエッチングする工程では、希ガスのプラズマが生成される、請求項1〜10の何れか一項に記載の方法。
  12. 前記第1領域は、酸化シリコン、酸窒化ケイ素、又は炭素含有酸化ケイ素から構成されている、請求項1〜11の何れか一項に記載の方法。
  13. 前記第2領域は、シリコン、炭素、窒化シリコン、又は金属から構成されている、請求項1〜12の何れか一項に記載の方法。
JP2014246745A 2014-12-05 2014-12-05 エッチング方法 Active JP6320282B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2014246745A JP6320282B2 (ja) 2014-12-05 2014-12-05 エッチング方法
PCT/JP2015/082646 WO2016088575A1 (ja) 2014-12-05 2015-11-20 エッチング方法
US15/527,360 US10090191B2 (en) 2014-12-05 2015-11-20 Selective plasma etching method of a first region containing a silicon atom and an oxygen atom
KR1020177012095A KR102418244B1 (ko) 2014-12-05 2015-11-20 에칭 방법
CN201580060311.XA CN107078050B (zh) 2014-12-05 2015-11-20 蚀刻方法
TW104140016A TWI671815B (zh) 2014-12-05 2015-12-01 蝕刻方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014246745A JP6320282B2 (ja) 2014-12-05 2014-12-05 エッチング方法

Publications (2)

Publication Number Publication Date
JP2016111177A true JP2016111177A (ja) 2016-06-20
JP6320282B2 JP6320282B2 (ja) 2018-05-09

Family

ID=56091525

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014246745A Active JP6320282B2 (ja) 2014-12-05 2014-12-05 エッチング方法

Country Status (6)

Country Link
US (1) US10090191B2 (ja)
JP (1) JP6320282B2 (ja)
KR (1) KR102418244B1 (ja)
CN (1) CN107078050B (ja)
TW (1) TWI671815B (ja)
WO (1) WO2016088575A1 (ja)

Cited By (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018048595A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Poly directional etch by oxidation
WO2018048594A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Footing removal for nitride spacer
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
WO2018152126A1 (en) * 2017-02-14 2018-08-23 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
JP2018133483A (ja) * 2017-02-16 2018-08-23 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
JP7462444B2 (ja) 2020-03-19 2024-04-05 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7022651B2 (ja) 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283480A (ja) * 1993-03-26 1994-10-07 Matsushita Electric Ind Co Ltd ドライエッチング方法
JPH10242130A (ja) * 1996-04-26 1998-09-11 Hitachi Ltd プラズマ処理方法及び装置
JP2001068462A (ja) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
JP2003151956A (ja) * 2001-11-19 2003-05-23 Sony Corp 半導体装置製造工程における窒化シリコン膜のエッチング方法
JP2007027349A (ja) * 2005-07-15 2007-02-01 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置
JP2010062587A (ja) * 1999-06-24 2010-03-18 Renesas Technology Corp 半導体集積回路装置の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW487983B (en) * 1996-04-26 2002-05-21 Hitachi Ltd Manufacturing method for semiconductor device
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
JP2000307001A (ja) 1999-04-22 2000-11-02 Sony Corp 半導体装置の製造方法
CN1723549B (zh) * 2002-10-11 2012-01-18 兰姆研究有限公司 增强等离子体蚀刻性能的方法
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7404874B2 (en) * 2004-06-28 2008-07-29 International Business Machines Corporation Method and apparatus for treating wafer edge region with toroidal plasma
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
TWM487983U (zh) * 2014-04-29 2014-10-11 Ledyoung Tech Corp 組合式燈具
JP6424049B2 (ja) * 2014-09-12 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283480A (ja) * 1993-03-26 1994-10-07 Matsushita Electric Ind Co Ltd ドライエッチング方法
JPH10242130A (ja) * 1996-04-26 1998-09-11 Hitachi Ltd プラズマ処理方法及び装置
JP2010062587A (ja) * 1999-06-24 2010-03-18 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2001068462A (ja) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
JP2003151956A (ja) * 2001-11-19 2003-05-23 Sony Corp 半導体装置製造工程における窒化シリコン膜のエッチング方法
JP2007027349A (ja) * 2005-07-15 2007-02-01 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置

Cited By (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US12009228B2 (en) 2015-02-03 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2018048595A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
WO2018048594A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
WO2018152126A1 (en) * 2017-02-14 2018-08-23 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10957515B2 (en) 2017-02-16 2021-03-23 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP2018133483A (ja) * 2017-02-16 2018-08-23 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7462444B2 (ja) 2020-03-19 2024-04-05 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11955342B2 (en) 2020-03-19 2024-04-09 Tokyo Electron Limited Method of etching and apparatus for plasma processing

Also Published As

Publication number Publication date
KR20170093111A (ko) 2017-08-14
TW201631656A (zh) 2016-09-01
US10090191B2 (en) 2018-10-02
KR102418244B1 (ko) 2022-07-07
CN107078050B (zh) 2020-05-12
JP6320282B2 (ja) 2018-05-09
CN107078050A (zh) 2017-08-18
TWI671815B (zh) 2019-09-11
WO2016088575A1 (ja) 2016-06-09
US20170323825A1 (en) 2017-11-09

Similar Documents

Publication Publication Date Title
JP6320282B2 (ja) エッチング方法
US9972503B2 (en) Etching method
JP6689674B2 (ja) エッチング方法
JP6396699B2 (ja) エッチング方法
US11264246B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
KR102513051B1 (ko) 에칭 방법
US10541147B2 (en) Etching method
WO2017199946A1 (ja) エッチング方法
JP2016157793A (ja) エッチング方法
JP2016136616A (ja) エッチング方法
JP2017011167A (ja) エッチング方法
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
US9633864B2 (en) Etching method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180327

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180403

R150 Certificate of patent or registration of utility model

Ref document number: 6320282

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250