JP2015505171A - 基板貫通ビアを集積回路の中間工程層に組み込むこと - Google Patents

基板貫通ビアを集積回路の中間工程層に組み込むこと Download PDF

Info

Publication number
JP2015505171A
JP2015505171A JP2014552358A JP2014552358A JP2015505171A JP 2015505171 A JP2015505171 A JP 2015505171A JP 2014552358 A JP2014552358 A JP 2014552358A JP 2014552358 A JP2014552358 A JP 2014552358A JP 2015505171 A JP2015505171 A JP 2015505171A
Authority
JP
Japan
Prior art keywords
substrate
insulating layer
layer
substrate via
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014552358A
Other languages
English (en)
Other versions
JP2015505171A5 (ja
JP6012763B2 (ja
Inventor
ヴィディヤ・ラマチャンドラ
シーチュン・グ
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2015505171A publication Critical patent/JP2015505171A/ja
Publication of JP2015505171A5 publication Critical patent/JP2015505171A5/ja
Application granted granted Critical
Publication of JP6012763B2 publication Critical patent/JP6012763B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

半導体ウェハが、組み込まれた基板貫通ビア(TSV)を有する。半導体ウェハは基板を備える。誘電体層は、基板の第1の側に形成され得る。基板貫通ビアは、誘電体層および基板を貫通して延びることができる。基板貫通ビアは、導電材料および絶縁層を含むことができる。絶縁層は、導電材料を少なくとも部分的に囲むことができる。絶縁層は、テーパ部分を有することができる。

Description

関連出願
本出願は、V.Ramachandranらの名義の2012年1月13日に出願された米国仮特許出願第61/586,463号および2012年7月13日に出願された米国仮特許出願第61/671,607号の利益を主張し、上記の仮出願の開示は、参照により全体が本明細書に明示的に組み込まれる。
本開示は一般に、集積回路(IC)に関する。より詳細には、本開示は、基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードにおける中間工程層に組み込むことに関する。
集積回路(IC)の半導体製造のプロセスフローは、基板工程(FEOL: front−end−of−line)、中間工程(MOL: middle−of−line)、および配線工程(BEOL: back−end−of−line)を含み得る。FEOLプロセスは、ウェハ作製、分離、ウェル形成、ゲートパターニング、スペーサ、エクステンションおよびソース/ドレインインプラント、シリサイド形成、ならびにデュアルストレスライナー形成を含み得る。MOLプロセスは、ゲートコンタクト形成を含み得る。BEOLプロセスは、FEOLおよびMOLプロセス中に作成された半導体デバイスを相互接続するための一連のウェハ加工ステップを含み得る。成功を収めている現代の半導体チップ製品の製造および認定には、採用される材料とプロセスの間の相互作用が伴う。とりわけ、MOLプロセスにおけるゲートコンタクト形成は、特にリソグラフィパターニングに関して、プロセスフローのますます困難な部分となっている。
半導体ノードが進歩する(すなわち、ノードがより小さくなり、製造方法が高度化する)につれて、TSV(基板貫通ビア)をMOL層に組み込むことがより難しくなる。中間工程層は、半導体デバイストランジスタまたは他の同様の能動デバイスに近接したMOLコンタクトまたは他の層を含むことができるが、これに限定されない。一般にMOL層は厚さが薄いので、デバイストランジスタに対しMOL層が近接することで、TSVを首尾よく組み込むための狭いプロセスウィンドウがもたらされる。その結果、TSV組み込みプロセスによって生じるダイ/ウェハの厚さのばらつきは、TSVプロセスが半導体デバイスの本体を貫通する縦接続を生成するので、MOL層に、より重大な問題となる。その上、TSVのサイズスケーリング能力が限られているため、さらにMOL層に対するばらつきの影響が増大する。
ダイおよびウェハの厚さのばらつきに寄与するTSVプロセスの1つは、TSV化学機械研磨(CMP)のオーバー研磨である。オーバー研磨は、TSV充填プロセスによってウェハ上に配置された(膜を含む)すべての層を完全に除去するために行われる。特に、TSV充填プロセスによってウェハ上に配置される層は、ウェハのMOL層上に形成され得る。不都合なことに、オーバー研磨によるウェハからの層除去により、特に20ナノメータ(20nm)以下のプロセスについて、MOL層の厚さがさらに薄くなるおそれがある。
本開示の一態様では、組み込まれた基板貫通ビア(TSV)を有する半導体ウェハが説明される。半導体ウェハは基板を備える。誘電体層は、基板の第1の側に形成され得る。基板貫通ビアは、誘電体層および基板を貫通して延びることができる。基板貫通ビアは、導電材料および絶縁層を含むことができる。絶縁層は、導電材料を少なくとも部分的に囲むことができる。絶縁層は、テーパ部分を備えることができる。
本開示の一態様では、基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに組み込むための方法が説明される。この方法は、基板の第1の側に形成された誘電体層を含む基板において基板貫通ビアキャビティを画成するステップを含む。この方法はまた、基板貫通ビアキャビティ内に絶縁層を堆積させるステップを含む。この方法は、絶縁層の一部分をエッチングするステップをさらに含む。エッチングするステップは、誘電体層に実質的に近接した絶縁層のテーパ部分を生成することができる。この方法はまた、基板貫通ビアキャビティ内に導電材料を堆積させるステップを含む。
本開示の一態様では、組み込まれた基板貫通ビア(TSV)を有する半導体ウェハが説明される。半導体ウェハは基板を備える。誘電体層は、基板の第1の側に形成され得る。半導体ウェハは、誘電体層および半導体基板を通って伝導するための手段を備える。半導体ウェハはまた、伝導手段を絶縁するための手段を備える。絶縁手段は、伝導手段を囲むことができる。絶縁手段はまた、テーパ部分を備えることができる。
本開示の別の態様では、基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに組み込むための方法が説明される。この方法は、半導体基板において基板貫通ビアキャビティを画成するステップを含む。この方法はまた、基板貫通ビアキャビティ内および基板貫通ビアキャビティの外側に、絶縁層を堆積させるステップを含む。この方法は、基板貫通ビアキャビティにフォトレジストを堆積させるステップをさらに含む。この方法はまた、基板貫通ビアキャビティの外側の絶縁層をエッチングするステップを含む。この方法は、基板貫通ビアキャビティを覆うフォトレジストを除去するステップをさらに含む。この方法はまた、基板貫通ビアキャビティを導電材料で充填するステップを含む。この方法は、基板貫通ビアキャビティの外側の絶縁層の化学機械オーバー研磨で中間工程層を露出させるステップをさらに含む。
本開示の一態様では、組み込まれた基板貫通ビア(TSV)を有する半導体ウェハが説明される。半導体ウェハは半導体基板を備える。誘電体層は、半導体基板の表面に形成され得る。研磨停止層は、誘電体層の表面に形成され得る。基板貫通ビアは、研磨停止層、誘電体層、および半導体基板を貫通して延びることができる。基板貫通ビアは、導電材料および絶縁層を含むことができる。絶縁層は、導電材料を少なくとも部分的に囲むことができる。絶縁層はまた、研磨停止層の一部分を部分的に覆うこともできる。
本開示の別の態様では、組み込まれた基板貫通ビア(TSV)を有する半導体ウェハが説明される。半導体ウェハは半導体基板を備える。誘電体層は、半導体基板の表面に形成され得る。研磨停止層は、誘電体層の表面に形成され得る。半導体ウェハは、研磨停止層、誘電体層、および半導体基板を通って伝導するための手段を備える。半導体ウェハは、伝導手段を絶縁するための手段を備える。絶縁手段は、導電材料を少なくとも部分的に囲むことができる。絶縁層はまた、研磨停止層の一部分を部分的に覆うこともできる。
上記は、以下の詳細な説明がより良く理解され得るように、本開示の特徴および技術的な利点を、かなり大まかに概説したものである。本開示のさらなる特徴および利点は、以下で説明される。本開示と同じ目的を実行するための他の構造を修正または設計するための基礎として、本開示が容易に利用され得ることを当業者は諒解されたい。そのような等価な構成は、添付の特許請求の範囲に記載される本開示の教示から逸脱しないことも当業者は認識されたい。機構と動作方法の両方に関して本開示の特性であると考えられる新規の特徴は、添付の図面と併せて考慮されれば、さらなる目的および利点とともに、以下の説明からより良く理解されよう。しかしながら、図面の各々は例示および説明のみを目的として提供され、本開示の範囲を規定するものとして意図されないことを明確に理解されたい。
本開示のより完全な理解のために、ここで、添付の図面と併せて以下の説明を参照する。
本開示の一態様による、能動デバイスおよび絶縁層を含む集積回路(IC)デバイスを示す断面図である。 本開示の一態様による絶縁層上に配設されたフォトレジストを含む、図1AのICデバイスを示す断面図である。 本開示の一態様による絶縁層上に配設されたフォトレジストを含む、図1AのICデバイスを示す断面図である。 本開示の一態様による絶縁層のテーパ部分を形成するための絶縁層のエッチングを示す、図1CのICデバイスの断面図である。 本開示の一態様による絶縁層のテーパ部分を形成するための絶縁層のエッチングを示す、図1AのICデバイスの断面図である。 本開示の一態様による絶縁層上の多層キャップ層の形成を示す、図2BのICデバイスの断面図である。 本開示の一態様によるTSVバリアシードおよび銅充填プロセスの後の図2BのICデバイスを示す断面図である。 本開示の一態様によるTSVバリアシードおよび銅充填プロセスの後の図2CのICデバイスを示す断面図である。 本開示の一態様による銅化学機械研磨(CMP)プロセスの後の図3AのICデバイスを示す断面図である。 本開示の一態様による銅化学機械研磨(CMP)プロセスの後の図3BのICデバイスを示す断面図である。 本開示の一態様によるICデバイスの能動面近くでテーパ部分を有する絶縁層によって囲まれたTSVを有する、図4AのICデバイスを示す断面図である。 本開示の一態様によるICデバイスの能動面近くでテーパ部分を有する絶縁層上の多層キャップ層によって囲まれたTSVを有する、図4CのICデバイスを示す断面図である。 本開示の一態様による配線工程(BEOL)スタックの作製の後の図5AのICデバイスを示す断面図である。 本開示の一態様による配線工程(BEOL)スタック作製の後の図5BのICデバイスを示す断面図である。 本開示の一態様による、基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに組み込むための方法を示すブロック図である。 本開示の一態様によるTSVキャビティ上およびTSVキャビティ内に形成されたストリップレジストを示す、図1AのICデバイスの断面図である。 本発明の一態様による削減された絶縁層を形成するためのフィールド酸化物層およびストリップレジストのエッチングの後の図8のICデバイスを示す断面図である。 本開示の一態様によるTSVバリアシードおよび銅充填プロセスの後の図9のICデバイスを示す断面図である。 本開示の一態様による銅化学機械研磨(CMP)プロセスの後の図10のICデバイスを示す断面図である。 本開示の一態様による化学機械研磨(CMP)オーバー研磨プロセスの後の図11のICデバイスを示す断面図である。 本開示の一態様による、基板貫通ビア(TSV)を先端CMOS(相補型金属酸化膜半導体)ノードに組み込むための方法を示すブロック図である。 本開示の構成が有利に採用され得るワイヤレス通信システムを示すブロック図である。
添付の図面に関する下記の詳細な説明は、様々な構成の説明として意図されており、本明細書で説明される概念が実施され得る唯一の構成を表すように意図されているわけではない。詳細な説明は、様々な概念の完全な理解をもたらす目的で、具体的な詳細を含んでいる。しかしながら、これらの概念はこれらの具体的な詳細なしに実施され得ることが当業者には明らかであろう。場合によっては、そのような概念を曖昧にするのを回避する目的で、周知の構造および構成要素がブロック図の形式で示されている。本明細書の説明では、「および/または」という用語の使用は、「包含的論理和」を表すことを意図し、「または」という用語の使用は、「排他的論理和」を表すことを意図する。
本開示の様々な態様は、基板貫通ビア(TSV)を集積回路(IC)における中間工程層に組み込むための技法を実現する。集積回路(IC)の半導体製造のプロセスフローは、基板工程(FEOL: front−end−of−line)プロセス、中間工程(MOL: middle−of−line)プロセス、および配線工程(BEOL: back−end−of−line)プロセスを含み得る。「層」という用語は、膜を含み、特に指定のない限り、縦または横の厚さを示すものと解釈されるべきではない。本開示の一態様によれば、絶縁層は、基板貫通ビア(TSV)の導電性部分を、ウェハの基板(たとえば、シリコン)から、またウェハの層間誘電体層から分離する。一構成では、絶縁層は、ウェハの誘電体層に実質的に近接したテーパ部分を備える。絶縁層はまた、ウェハの基板の水平長に沿って配設された実質的に一定の部分を備える。別の構成では、基板貫通ビアの絶縁層は、第1の実質的に一定の直径を有する第1の部分と、より大きな実質的に一定の直径を有する第2の部分とを有する。さらなる構成では、多層キャップが、基板貫通ビアを充填する導電材料から絶縁層を分離する。
本開示の一態様では、方向性反応性イオン(DRI: directional reactive ion)エッチングにより、テーパ付けされた絶縁層の部分が得られる。本開示のこの態様では、DRIエッチングにより、CMP(化学機械研磨)の前にウェハの水平面から層の一部分を実質的に除去し、したがって、CMPオーバー研磨により除去する絶縁層の量が少なくなる。本開示のこの態様では、DRIエッチングが、TSV形成プロセスの前にウェハの水平面上に形成される絶縁層の厚さを実質的に減らす。本開示の別の態様では、TSV形成プロセスに続いて、ICデバイスを完成するために配線工程(BEOL)相互接続層がウェハ上に作製される。
有利なことに、TSV充填プロセス中にウェハ上に配置されたすべての層を除去するCMPオーバー研磨は、DRIエッチングを使用することによって削減される。すなわち、DRIエッチングがウェハの水平面から層のほとんどを除去するので、(TSV充填プロセス中に配置された)ウェハ上の残りの層を除去するために必要なCMPオーバー研磨プロセスが低減する。また、DRIエッチングは、TSVの側壁内に堆積された層のいくつかを除去して、テーパが付いた絶縁層をもたらす。除去の大部分はTSVの最上部付近である。CMPオーバー研磨を削減することによって、ウェハの中間工程(MOL)層がCMPオーバー研磨中により良く保存/保護される。TSVは、導電材料(たとえば金属)レベル(たとえばBEOL相互接続層)のようにMOL層より上に挿入することも可能である。
図1Aは、本開示の一態様による、能動デバイス112〜126を含む集積回路(IC)デバイス100を示す断面図を示す。典型的には、ICパッケージ100は、シャロートレンチアイソレーション(STI)領域104を有する基板(たとえば、シリコンウェハ)102を含む。STI領域104および基板102の上に、層間誘電体(IDL)層106がある。また、(FEOL)相互接続層110も設けられる。また、中間工程(MOL)層120も設けられる。IDL層106は、FEOL相互接続層110の能動デバイス112〜116、およびMOL層120の導電素子(たとえば、ビア)121〜128を、後の処理による損傷から保護する。この構成では、ILD層106は、MOL層120の導電素子121〜128間の短絡を防止するために酸化ケイ素または他の同様の材料で形成される。代替構成では、ILD層106は低誘電率誘電体または他の同様の材料である。
図1Aに示すように、TSVキャビティ134は、本開示の一態様による1つまたは複数の絶縁層およびTSV(基板貫通ビア)導電材料を収容するために拡大された寸法(たとえば、10〜100ミクロンの深さ)を有する。図1Aに示すように、研磨ストップ層130を、ILD層106の表面上、および導電素子121〜128を含むMOL層120の表面上に堆積した後、リソグラフィが、最終的なTSVの実際の導電性部分より若干大きいTSVキャビティ134を画成する(図4および図5参照)。この構成では、TSVのサイズは1マイクロメートル(μm)〜20マイクロメートル程度である。さらに、研磨停止層130は、炭化ケイ素、窒化ケイ素、SiCON、または他の同様の保護材料で形成されてよい。
図1Aは、本開示の一態様による絶縁層140を示す。この構成では、エッチングおよび/またはリソグラフィプロセスが、ILD層106、および基板102のSTI領域104をエッチングする。このプロセスがTSVキャビティ134を形成する。エッチングの完了後、絶縁堆積により、研磨停止層130、側壁、およびTSVキャビティ134の底部上に絶縁層140が形成される。保護誘電体層140は、非フッ素化石英ガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、窒化ケイ素、または酸化物層もしくは電気的絶縁膜を形成するための他の同様の前駆体の層として形成されてよい。絶縁層140は、20〜1000ナノメートル(nm)の範囲の厚さを有することができる。
図1Bおよび図1Cは、本開示の一態様による絶縁層140上に配設されたフォトレジスト180を含む、図1AのICデバイスを示す断面図を示す。典型的には、フォトレジスト180は、研磨停止層130上に形成される絶縁層140の上面に堆積される。この構成では、フォトレジスト180は、TSVキャビティ134内の絶縁層140上にも堆積される。フォトレジスト180の薄層が、この構成ではTSV134の側壁の上部分に堆積される。
図1Cは、研磨停止層130上に形成された絶縁層140の上部水平面に堆積されたフォトレジスト180の部分を除去するためのエッチバックプロセス(たとえば、O2プラズマプロセス)を示す。この構成では、TSVキャビティ134の底部の絶縁層140上に形成されたフォトレジスト180の部分が完全には除去されない。この構成では、TSVキャビティ134の側壁の上部分のフォトレジスト180は完全に除去される。本開示のこの態様では、フォトレジスト180が、TSVキャビティ134の底部分上に堆積された絶縁層140の部分を保護する。この構成では、TSVキャビティ134の底部分上に堆積された完全な絶縁層140が、TSV134内の導電性充填材料(図3A〜図6B)と基板102との間の接触を防止する。
図2Aは、本開示の一態様によるTSVキャビティ134内に形成された絶縁層140のテーパ部分142を含む、ICデバイス200を示す断面図を示す。図2Aに示すように、絶縁層140の方向性反応性イオン(DRI)エッチング136が行われる。DRIエッチング136は、研磨停止層130上に形成された絶縁層140の部分を削減して、削減された絶縁層146をもたらす。その上、この構成では、DRIエッチング136は、図2Aに示すようにテーパ付けされている、側壁上に形成された絶縁層140の部分をもたらす。言い換えれば、TSVの側壁上に形成された絶縁層140の最上部分(すなわち、DRIエッチングプロセスに最も近接したTSVの側壁上の絶縁層140の部分)が、TSVの側壁上に形成された絶縁層140の下方部分と比べて減少した水平幅を有する。絶縁層140の一部分の水平幅の減少は、段階的または漸減的である。
TSVキャビティ134の上部の絶縁層140のテーパ部分142のテーパ形状は、TSVの上部の鋭角隅部の高電界を低減し得る。絶縁層140の(たとえば、垂直軸に沿った)テーパ部分142の長さは、トランジスタ技術に応じて決定され得る基板102内へ延びるデバイス(たとえば、能動デバイス112〜116)の深さに基づく。DRIエッチング136が、絶縁層140の(たとえば、テーパ付けされない、減少しない)一定部分144に大きく影響しないことは理解されよう。その上、フォトレジスト180は、DRIエッチング236中にTSVキャビティ134の底部上の絶縁層140の部分を保護する。
図2Bは、テーパ付けされている絶縁層140の部分をもたらす絶縁層のDRIエッチングを示す、ICデバイス200の断面図を示す。この例示的な構成では、図1B、図1C、および図2Aに示した例示的な構成で設けられたフォトレジスト180が設けられない。言い換えれば、図2Bの例示的な構成は、図2Aの例示的な構成が得られたプロセスに類似するプロセスによって得られるが、フォトレジスト層180を追加するプロセスが省かれている。TSVキャビティ134の底部に位置する絶縁層140を保護するためのフォトレジスト層がないので、絶縁層140のその部分は、DRIエッチング136によって削減される。いくつかの構成では、DRIエッチング136のプロセスパラメータが、DRIエッチング136がTSVキャビティ134の底部に到達するのを防止するように調整される。これらの構成では、TSVキャビティ136の底部の絶縁層140は、フォトレジスト180の堆積なしに保護される。
図2Aおよび図2Bに示すように、DRIエッチング136プロセスは、TSVキャビティ134の外側の基板102上の水平領域から絶縁層の一部を除去する。図2Bでは、TSVキャビティ134の内側の絶縁層140の一部も除去される。図2Aおよび図2Bに示すように、削減された絶縁層146は、CMPオーバー研磨の削減を可能にする。その後、CMPオーバー研磨が行われて、残りの削減された絶縁層146および研磨停止層130を除去し、中間工程プロセスによって形成されたMOL層120の導電素子121〜128を露出する。本開示のこの態様では、削減された絶縁層146をエッチングすることで生じる誤り(すなわち、MOL層の潜在的除去)が、より厚い層をエッチングすることで生じる潜在的誤りより小さいので、CMPオーバー研磨の削減によって、基板102のMOL層120に対する影響が減少する。
図2Cは、本開示の一態様による絶縁層140上の多層キャップ層250の形成を示す、図2BのICデバイス200の断面図を示す。典型的には、キャップ堆積が、絶縁層140上に多層キャップ層250を形成する。多層キャップ層250は、DRIエッチング136が後続する第1のキャップ層252の堆積によって形成され得る。DRIエッチング136は、削減された絶縁層146上に形成された第1のキャップ層252の部分を削減する。この構成では、DRIエッチング136は、テーパ付けされている(側壁上に形成された)第1のキャップ層252の部分がもたらす。すなわち、TSVの側壁上に形成された第1のキャップ層252の最上部分(すなわち、DRIエッチングプロセスに最も近接したTSVの側壁上の第1のキャップ層252の部分)が、TSVの側壁上に形成された第1のキャップ層252の底部分と比べて減少した水平幅を有する。第1のキャップ層252の一部分の水平幅の減少は、段階的または漸減的である。
図2Cにさらに示されるように、キャップ堆積およびDRIエッチングが第2のキャップ層254を形成するように繰り返される。この構成では、TSVの側壁上に形成された第2のキャップ層254の最上部分が、TSVの側壁上に形成された第1のキャップ層252の底部分と比べて減少した水平幅を有する。多層キャップ層250は、2つの層を有するように示されているが、単一膜を含む任意の数の層を備えることができる。さらに、多層キャップ層250は、テーパ部分を含むように示されているが、DRIエッチング136を省略して一定の水平幅で形成されてもよい。多層キャップ層250は、酸化ケイ素、窒化ケイ素、炭化ケイ素、酸窒化ケイ素、ポリイミド、もしくは同様の他の絶縁膜、または窒化チタン、窒化タンタル、タングステン、タンタル、もしくは他の同様の導電性膜を含むがこれらに限定されない、誘電体膜または導電性膜の複数の層を使用して形成され得る。多層キャップ層250は、2〜1000ナノメートル(nm)の範囲の厚さを有することができる。
図3Aは、本開示の一態様によるTSVバリアシードおよび導電材料充填プロセスの後の図2BのICデバイス200を示す断面図を示す。図3Aに示すように、ICデバイス300は、ICデバイス200上に導電材料338を堆積するためのTSVバリアシードおよび導電材料充填プロセスを受ける。図3Aに示す導電材料338は、削減された絶縁層146を覆い、またTSVキャビティ134を充填する。絶縁層140のテーパ部分142および一定部分144は、TSVキャビティ134内の充填材料が基板102に接触するのを防止する。導電材料338は、銅、タングステン、または他の同様の導電材料を含み得るが、これらに限定されない。図示されていないが、フォトレジストは、TSVキャビティ134の底部分に位置する絶縁層140の部分上に残る場合、あるいはTSVキャビティ134を導電材料で充填する前に除去される場合がある。
図3Bは、本開示の一態様によるTSVバリアシードおよび銅充填プロセスの後の図2CのICデバイス200を示す断面図を示す。図3Bに示すように、ICデバイス300は、ICデバイス200上に導電材料338を堆積するためのTSVバリアシードおよび導電材料充填プロセスを受ける。図3Aに示す導電材料338は、削減された絶縁層146上の多層キャップ層250を覆い、また、絶縁層140上の第1のキャップ層252および第2のキャップ層254を含むTSVキャビティ134を充填する。
図4Aは、本開示の一態様による図3AのICデバイス300に化学機械研磨(CMP)プロセス470が適用された後のICデバイス400を示す断面図を示す。図4Aに示すように、CMPプロセス470は、基板102の表面から導電材料338を除去する。たとえば、CMPプロセス470は、図3Aに示した研磨停止層130上に位置する導電材料338を除去する。図4Aに示すように、削減された絶縁層146および研磨停止層130は、CMPプロセス470後に基板102の表面上に残る。これらの層は、たとえば、図5Aに示すように、CMPオーバー研磨プロセッサによって除去される。
図4Bは、本開示の一態様による図3BのICデバイス300に化学機械研磨(CMP)プロセス470が適用された後のICデバイス400を示す断面図を示す。図4Bに示すように、CMPプロセス470は、基板102の表面から導電材料338を除去する。たとえば、CMPプロセス470は、図3Bに示したような削減された絶縁層146上の多層キャップ層250上に位置する導電材料338を除去する。図4Bに示すように、多層キャップ層250の削減された部分、削減された絶縁層146、および研磨停止層130は、CMPプロセス470後に基板102の表面上に残る。これらの層は、たとえば、図5Bに示すように、CMPオーバー研磨プロセッサによって除去される。
図5Aは、本開示の一態様による絶縁層140によって囲まれたTSV560を含む、ICデバイス500を示す断面図を示す。図5Aに示すように、CMPオーバー研磨プロセス580は、基板102の表面504上の層の残りの部分を除去して、MOL層120の導電素子121〜128を露出する。たとえば、CMPオーバー研磨プロセス580は、基板102のMOL層120の導電素子121〜128に損傷を与えずに、削減された絶縁層146および研磨停止層130(図4A参照)を除去する。すなわち、CMPオーバー研磨プロセス580の期間がより短く、したがって、図2A〜図4Bに示すような削減された絶縁層146による基板102の下層のMOL層120に対する影響がより小さい。
図5Aに示すように、CMPオーバー研磨プロセス580がTSV560の形成を完了すると、TSV560では、その導電材料の直径が、TSVキャビティ134内の絶縁層140のテーパ部分142および一定部分144に応じて異なっている。典型的には、CMPオーバー研磨プロセス580は、図6Aに示すように、配線工程相互接続層の形成に備えて、削減された絶縁層146および研磨停止層130(図4A参照)を除去するために行われる。本開示のこの態様では、基板102は、ILD層106からTSV560を露出するためのプロセス(たとえば、研削)を受ける。
図5Bは、本開示の一態様によるICデバイス500の能動面近くでテーパ部分を有する絶縁層140上の多層キャップ層250によって囲まれたTSV560を有する、図4CのICデバイス400を示す断面図を示す。典型的には、CMPオーバー研磨プロセス580は、図6Bに示すように、配線工程相互接続層の形成に備えて、多層キャップ層250、削減された絶縁層146および研磨停止層130(図4B参照)を除去するために行われる。
図6Aは、本開示の一態様による相互接続層を作製するために使用される配線工程(BEOL)プロセスの後のICデバイス600を示す断面図を示す。典型的には、TSV処理が完了した後、相互接続層690がBEOLプロセスによって基板102上に作製され、ICデバイス600の形成が完了する。この構成では、相互接続層690は、コンタクトレベルを含むように形成される。追加または代替の相互接続層がBEOLプロセスによって形成されてもよい。相互接続層690は、ICデバイス600を別のICデバイス(図示せず)に電気的および/または熱的に結合する機構を提供する。
図6Bは、ICデバイス600を形成するための配線工程(BEOL)スタック作製の後の図5BのICデバイス500を示す断面図を示す。この構成では、ICデバイス600は、本開示の一態様によるICデバイス500の能動面近くでテーパ部分を有する絶縁層140上の多層キャップ層250によって囲まれたTSV560を備える。相互接続層690は、ICデバイス600を別のICデバイス(図示せず)に電気的および/または熱的に結合する機構を提供する。
図7は、本開示の一態様による、基板貫通ビア(TSV)を介して先端CMOS(相補型金属酸化膜半導体)ノードに組み込むための方法700を示すブロック図である。ブロック710で、TSVキャビティ134が、たとえば、図1A〜図5Bに示すように、基板、および基板上の誘電体層(たとえば、層間誘電体層(ILD))を介して画成される。本明細書ではシリコン基板について述べているが、ガラス、サファイア、または任意の他の適切な材料を含む他の基板材料も企図される。ブロック712では、絶縁層が、たとえば、図1A〜図1Cに示すように、TSVキャビティ内および研磨停止層上に堆積される。
図7を再び参照すると、ブロック714では、絶縁層が、能動デバイスの上方に位置する絶縁層の部分(たとえば、停止研磨層上に位置する絶縁層の部分が除去される)を除去するためにエッチングされる。エッチングにより、テーパされている絶縁層の部分がもたらされる。たとえば、図2Aおよび図2Bに示すように、絶縁層140の方向性反応性イオン(DRI)エッチングが、TSVキャビティ134の側壁上の絶縁層140のテーパ部分142を生成する。ブロック716では、たとえば、図2A〜図5Bに示すように、TSVキャビティ134が導電材料によって充填される。本明細書では銅充填材について述べているが、他の導電材料も企図される。
一構成では、ICデバイス600が、誘電体層および基板を通って伝導するための手段を備える。伝導手段は、導電性充填材料を有する。本開示の一態様では、伝導手段は、伝導手段によって列挙される機能を実行するように構成された図5A〜図6BのTSV560である。一構成では、ICデバイス600はまた、基板から伝導手段を絶縁するための手段を備える。絶縁するための手段は、導電材料を囲むことができ、ILD層に実質的に近接したテーパ部分を備える。本開示の一態様では、絶縁手段は、絶縁手段によって列挙される機能を実行するように構成された図4A〜図6Bのテーパ部分142および一定部分144を含む絶縁層140である。別の態様では、上記の手段は、上記の手段によって列挙される機能を実行するように構成されたデバイスまたは任意の層であってよい。
図8は、別のプロセスが採用されるICデバイス800を示す断面図を示す。本開示の一態様によれば、絶縁層の堆積の後、フォトレジスト870が、TSVキャビティ834内に、またTSVキャビティ834を覆って形成される。別の構成では、フォトレジストは、TSVキャビティ834を部分的に充填することができる。図8に示すように、絶縁層840とTSVキャビティ834上のフォトレジスト870とのエッチング836が行われる。エッチング836プロセスは、フォトレジスト870下ではない絶縁層840の一部(たとえば、図9に示すようなTSVキャビティ834の外側の絶縁層)を除去する。TSVキャビティ834の側壁上の絶縁層840は、フォトレジスト870によって保護される。
図9は、本開示の一態様による削減された絶縁層946を形成する、図8に示したような絶縁層840の部分的エッチングおよびフォトレジスト870の除去の後のICデバイス900を示す断面図を示す。図9に示すように、TSVキャビティ834内ではない絶縁層が基板102の表面上で削減されて、削減された絶縁層946を形成する。本開示の一態様では、削減された絶縁層946により、削減された絶縁層946および研磨停止層130を基板102の表面から除去するときにCMPオーバー研磨の削減が可能になる。本開示のこの態様では、CMPオーバー研磨の削減により、基板102の中間工程(MOL)層120の導電素子121〜128に対する影響が限定される。
図10は、本開示の一態様によるTSVバリアシードおよび導電材料充填プロセスの後のICデバイス1000を示す断面図を示す。図10に示すように、TSVバリアシードおよび導電材料充填プロセスは、TSVキャビティ834を導電材料338で充填する。図示の例では、導電材料338は、削減された絶縁層946上にも形成される銅である。絶縁層840は、TSVキャビティ834内の導電材料338が基板102に接触するのを防止する。充填材料は、銅、タングステン、または他の同様の導電材料を含み得るが、これらに限定されない。
図11は、本開示の一態様による化学機械研磨(CMP)プロセス1160の後のICデバイス1100を示す断面図を示す。図11に示すように、CMPプロセス1160は、基板102の表面から導電材料338を除去する。図11に示すように、削減された絶縁層946および研磨停止層130は、基板102の表面上に残る。これらの層は、たとえば、図12に示すように、CMPオーバー研磨プロセスによって除去される。
図12は、本開示の一態様による絶縁層840によって囲まれたTSV1250を含む、図11のICデバイス1200を示す断面図を示す。図12に示すように、CMPオーバー研磨プロセス1270は、基板102の表面1204上の絶縁層の残りの部分を除去する。典型的には、CMPオーバー研磨プロセス1270は、基板102のMOL部分に損傷を与えずに、削減された絶縁層946および研磨停止層130(図11参照)を除去する。すなわち、CMPオーバー研磨プロセス1270はより短く、したがって、図9〜図11に示すような削減された絶縁層946による基板102のMOL層120の導電素子121〜128に対する影響がより小さい。
図12に示すように、CMPオーバー研磨プロセス1270はTSV1250の形成を完了し、TSV1250は、TSVキャビティ834の上へまたILD層106上に延びるTSV部分1252を含む。典型的には、研磨停止部分1232、およびTSV1250の絶縁層部分1248は、TSVキャビティ834の外へ延びる。CMPオーバー研磨プロセス1270は、たとえば、図6Aに示したようなBEOLプロセスを通して形成される相互接続層の形成に備えて、削減された絶縁層946および研磨停止層130(図11参照)を、基板102の表面1204から除去する。
図13は、本開示の一態様による、基板貫通ビア(TSV)を介して先端CMOS(相補型金属酸化膜半導体)ノードに組み込むための方法1300を示すブロック図である。ブロック1310で、TSVキャビティ834が、たとえば、図8〜図12に示すように、基板およびILDを介して画成される。本明細書ではシリコン基板について述べているが、他の適切な材料も企図される。ブロック1312では、絶縁層が、たとえば、図8に示すように、TSVキャビティ内および研磨停止層上に堆積される。
図13を再び参照すると、ブロック1314では、半導体基板がパターニングされて、基板貫通ビアキャビティだけを覆うフォトレジストを堆積させる。たとえば、図8に示すように、フォトレジスト870は、TSVキャビティ834の上へ、およびTSVキャビティ384の側壁上に位置する絶縁層840を覆って形成される。ブロック1316では、TSVキャビティの外側の絶縁層の部分がエッチングされて、削減された絶縁層部分を形成する。たとえば、図9に示すように、エッチングが絶縁層840の一部分を除去して、削減された絶縁層946を形成する。ブロック1318では、TSVキャビティを覆うフォトレジストが除去される。ブロック1320では、たとえば、図10に示すように、TSVキャビティ834が導電材料838によって充填される。
図13を再び参照すると、ブロック1322では、化学機械オーバー研磨がウェハの表面に対して行われて、MOLプロセスによって生成された層を露出する。化学機械オーバー研磨は、図11および12に示すように、ウェハの基板の表面から、導電材料、絶縁層、および研磨停止層を除去する。たとえば、図11に示すように、導電材料、バリアシード、およびCMPプロセス1160のエッチング停止が行われる。図12に見られるように、CMPオーバー研磨プロセス1270が行われ、絶縁層部分1248、研磨停止部分1232、およびTSV1250のTSV部分1252が残り、TSVキャビティ834の外側に突き出る。
一構成では、ICデバイス1200が、研磨停止層、層間誘電体(IDL)層、および基板を通って伝導するための手段を備える。伝導手段は導電材料を有する。本開示の一態様では、伝導手段は、伝導手段によって列挙される機能を実行するように構成された図12の基板貫通ビア1250である。一構成では、ICデバイス1200はまた、半導体基板から伝導手段を絶縁するための手段を備える。この絶縁するための手段は、導電材料を囲み、研磨停止層の一部分を部分的に覆うことができる。本開示の一態様では、絶縁手段は、絶縁手段によって列挙される機能を実行するように構成された図12の研磨停止部分1232および絶縁層部分1248を含む絶縁層840である。別の態様では、上記の手段は、上記の手段によって列挙される機能を実行するように構成されたデバイスまたは任意の層であってよい。
図14は、本開示の構成が有利に採用され得る例示的なワイヤレス通信システム1400を示すブロック図である。例示のために、図14は、3つの遠隔ユニット1420、1430および1450、ならびに2つの基地局1440を示す。ワイヤレス通信システムがこれよりも多くの遠隔ユニットおよび基地局を有してもよいことが認識されよう。遠隔ユニット1420、1430、および1450は、ICデバイス1425A、1425B、および1425Cを備え、これらのICデバイスは、テーパ付けされた絶縁層/付加的研磨停止部分/絶縁層部分を有する本開示の基板貫通ビア(TSV)を備える。基地局、スイッチングデバイス、およびネットワーク機器を含む、ICを含む任意のデバイスは、本明細書で開示するテーパ付けされた絶縁層/追加的研磨停止部分/絶縁層部分によって囲まれたTSVも含み得ることが認識されよう。図14は、基地局1440から遠隔ユニット1420、1430および1450への順方向リンク信号1480、ならびに遠隔ユニット1420、1430および1450から基地局1440への逆方向リンク信号1490を示す。
図14では、遠隔ユニット1420は携帯電話として示され、遠隔ユニット1430はポータブルコンピュータとして示され、遠隔ユニット1450はワイヤレスローカルループシステム内の固定ロケーション遠隔ユニットとして示される。たとえば、遠隔ユニットは、携帯電話、ハンドヘルドパーソナル通信システム(PCS)ユニット、携帯情報端末などのポータブルデータユニット、GPS対応デバイス、ナビゲーションデバイス、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、メータ読取り機器などの固定ロケーションデータユニット、またはデータもしくはコンピュータ命令の記憶もしくは取り出しを行う任意の他のデバイス、またはそれらの任意の組合せであり得る。図14は、本開示の教示によるリモートユニットを示すが、本開示は、これらの例示的に示されたユニットには限定されない。本開示の態様は、テーパ付けされた絶縁層/追加的研磨停止部分/絶縁層部分によって囲まれたTSVを含む任意のデバイスにおいて好適に採用され得る。
ファームウェアおよび/またはソフトウェアの実装形態の場合、これらの方法を、本明細書に記載された機能を実行するモジュール(たとえば、プロシージャ、関数など)で実装することができる。本明細書に記載された方法を実装する際に、命令を有形に具現化する任意の機械可読媒体を使用することができる。たとえば、ソフトウェアコードはメモリに記憶され、プロセッサユニットにより実行され得る。メモリは、プロセッサユニット内に実装されてよく、またはプロセッサユニットの外部に実装されてよい。本明細書で使用される場合、「メモリ」という用語は、長期メモリ、短期メモリ、揮発性メモリ、不揮発性メモリ、または他のメモリのいずれかの種類を指し、メモリのいかなる特定の種類またはいかなる特定の数にも、あるいはメモリが記憶される媒体のいかなる特定の種類にも限定されない。
本開示およびその利点が詳細に記載されたが、添付の特許請求の範囲によって規定される本開示の技術から逸脱することなく、本明細書において様々な変更、代用および改変が行われ得ることを理解されたい。たとえば、「上」および「下」などの関係用語が、基板または電子デバイスに関して使用される。もちろん、基板または電子デバイスが反転した場合、上は下に、下は上になる。加えて、横向きの場合、上および下は、基板または電子デバイスの側面を指す場合がある。さらに、本出願の範囲は、本明細書に記載されたプロセス、機械、製造、物質組成、手段、方法、およびステップの特定の実施形態に限定されるものではない。当業者が本開示から容易に諒解するように、本明細書に記載された対応する実施形態と実質的に同じ機能を実行するか、または実質的に同じ結果を実現する、既存または今後開発されるプロセス、機械、製造、物質組成、手段、方法、またはステップが、本開示に従って利用され得る。したがって、添付の特許請求の範囲は、そのようなプロセス、機械、製造、物質組成、手段、方法、またはステップをそれらの範囲内に含むものとする。
100 ICデバイス
102 基板
104 STI領域
106 IDL層
110 FEOL相互接続層
120 MOL層
130 研磨ストップ層
112 能動デバイス
114 能動デバイス
116 能動デバイス
121 導電素子
122 導電素子
124 導電素子
126 導電素子
128 導電素子
134 TSVキャビティ
136 DRIエッチング
140 絶縁層
142 テーパ部分
144 一定部分
146 削減された絶縁層
152 テーパ部分
154 一定部分
200 ICデバイス
250 多層キャップ層
252 第1のキャップ部分
254 第2のキャップ部分
300 ICデバイス
338 導電材料
400 ICデバイス
450 TSV
470 CMPプロセス
500 ICデバイス
504 表面
560 TSV
580 CMPオーバー研磨プロセス
600 ICデバイス
690 相互接続層
800 ICデバイス
834 TSVキャビティ
836 エッチング
840 絶縁層
870 フォトレジスト
900 ICデバイス
946 削減された絶縁層
1000 ICデバイス
1100 ICデバイス
1160 CMPプロセス
1200 ICデバイス
1232 研磨停止部分
1248 絶縁層部分
1252 TSV部分
1270 CMPオーバー研磨プロセス
1400 ワイヤレス通信システム
1420 遠隔ユニット
1440 基地局
1425A ICデバイス
1425B ICデバイス
1425C ICデバイス
1430 遠隔ユニット
1450 遠隔ユニット
1480 順方向リンク信号
1490 逆方向リンク信号

Claims (25)

  1. 基板と、
    前記基板の第1の側に形成された誘電体層と、
    前記誘電体層および前記基板を貫通して延びる基板貫通ビアであって、前記基板貫通ビアが導電材料および絶縁層を含み、前記絶縁層が前記導電材料を少なくとも部分的に囲み、前記絶縁層がテーパ部分を備える、基板貫通ビアと
    を備える半導体ウェハ。
  2. 前記絶縁層が、実質的に一定の直径を有する一定部分を備え、前記テーパ部分が変動直径を有し、前記変動直径が前記実質的に一定の直径より大きい、請求項1に記載の半導体ウェハ。
  3. 前記導電材料が、実質的に一定の直径を有する第1の部分と、前記テーパ部分の前記変動直径に対応して変動する直径を有する第2の部分とを備える、請求項2に記載の半導体ウェハ。
  4. 前記絶縁層の前記テーパ部分が、能動デバイスおよび/または受動デバイスを有する前記基板の前記第1の側に近接して配設される、請求項1に記載の半導体ウェハ。
  5. 前記絶縁層の前記テーパ部分が、前記基板の前記第1の側、および前記誘電体層に近接して配設される、請求項1に記載の半導体ウェハ。
  6. 前記基板貫通ビアが、前記導電材料の一部分を前記絶縁層から分離するフォトレジスト層をさらに備える、請求項1に記載の半導体ウェハ。
  7. 前記基板貫通ビアが、前記導電材料を前記絶縁層から分離する多層キャップ層をさらに備える、請求項1に記載の半導体ウェハ。
  8. 前記半導体ウェハの一部分が、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの内の少なくとも1つに組み込まれる、請求項1に記載の半導体ウェハ。
  9. 基板貫通ビアを作製する方法であって、
    基板の第1の側に形成された誘電体層を含む前記基板において基板貫通ビアキャビティを画成するステップと、
    前記基板貫通ビアキャビティ内に絶縁層を堆積させるステップと、
    前記絶縁層の一部分をエッチングするステップであって、前記エッチングするステップが、前記誘電体層に実質的に近接した前記絶縁層のテーパ部分を生成するステップと、
    前記基板貫通ビアキャビティ内に導電材料を堆積させるステップと
    を含む方法。
  10. 能動デバイスおよび/または受動デバイスを含む前記基板の前記第1の側に前記絶縁層を堆積させるステップと、
    前記絶縁層上にフォトレジストを堆積させるステップと、
    前記基板の前記第1の側に配設された前記絶縁層の一部分から前記フォトレジストを除去するステップと
    をさらに含む、請求項9に記載の基板貫通ビアを作製する方法。
  11. エッチングするステップが、
    方向性反応性イオンエッチングによって前記絶縁層の前記テーパ部分を生成するステップと、
    前記方向性反応性イオンエッチングによって、前記誘電体層の表面に形成された前記絶縁層の厚さを減らすステップと
    をさらに含む、請求項9に記載の基板貫通ビアを作製する方法。
  12. 前記基板貫通ビアキャビティ内に配設された前記絶縁層の一部分からフォトレジストを除去するステップをさらに含む、請求項11に記載の基板貫通ビアを作製する方法。
  13. 前記基板の前記第1の側に配設された層間誘電体(IDL)層の表面に配線工程(BEOL)導電層を形成するステップをさらに含む、請求項9に記載の基板貫通ビアを作製する方法。
  14. 前記基板貫通ビアを半導体ダイ内に組み込むステップと、
    音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの内の少なくとも1つに、前記半導体ダイを組み込むステップと
    をさらに含む、請求項9に記載の基板貫通ビアを作製する方法。
  15. 半導体基板と、
    前記基板の第1の側に形成された誘電体層と、
    前記誘電体層および前記基板を通って伝導するための手段と、
    前記伝導手段を絶縁するための手段であって、前記伝導手段を囲み、テーパ部分を備える絶縁手段と
    を備える半導体ウェハ。
  16. 前記伝導手段の一部分が、
    前記絶縁手段の前記テーパ部分に少なくとも部分的に基づいて直径が変動する、請求項15に記載の半導体ウェハ。
  17. 前記絶縁手段の前記テーパ部分が、能動デバイスおよび/または受動デバイスを有する前記基板の前記第1の側に近接して配設される、請求項15に記載の半導体ウェハ。
  18. 前記絶縁手段の前記テーパ部分が、前記基板の前記第1の側、および前記誘電体層に近接して配設される、請求項15に記載の半導体ウェハ。
  19. 前記半導体ウェハの一部分が、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの内の少なくとも1つに組み込まれる、請求項15に記載の半導体ウェハ。
  20. 基板貫通ビアを作製する方法であって、
    半導体基板において基板貫通ビアキャビティを画成するステップと、
    前記基板貫通ビアキャビティ内および前記基板貫通ビアキャビティの外側に、絶縁層を堆積させるステップと、
    前記基板貫通ビアキャビティにフォトレジストを堆積させるステップと、
    前記基板貫通ビアキャビティの外側の前記絶縁層をエッチングするステップと、
    前記基板貫通ビアキャビティを覆う前記フォトレジストを除去するステップと、
    前記基板貫通ビアキャビティを導電材料で充填するステップと、
    前記基板貫通ビアキャビティの外側の前記絶縁層を化学機械オーバー研磨して、中間工程層を露出させるステップと
    を含む方法。
  21. 前記基板貫通ビアを半導体ダイ内に組み込むステップと、
    音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの内の少なくとも1つに、前記半導体ダイを組み込むステップと
    をさらに含む、請求項20に記載の基板貫通ビアを作製する方法。
  22. 半導体基板と、
    前記半導体基板の表面に形成された誘電体層と、
    前記誘電体層の表面に形成された研磨停止層と、
    前記研磨停止層、前記誘電体層、および前記半導体基板を貫通して延びる基板貫通ビアであって、導電材料を含む基板貫通ビアと
    少なくとも部分的に前記導電材料を囲み、前記研磨停止層の一部分を部分的に覆う絶縁層と
    を備える半導体ウェハ。
  23. 前記半導体ウェハの一部分が、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの内の少なくとも1つに組み込まれる、請求項22に記載の半導体ウェハ。
  24. 半導体基板と、
    前記基板の表面に形成された誘電体層と、
    前記誘電体層の表面に形成された研磨停止層と、
    前記研磨停止層、前記誘電体層、および前記半導体基板を通って伝導するための手段と、
    前記伝導手段を絶縁するための手段であって、少なくとも部分的に前記伝導手段を囲み、前記研磨停止層の一部分を部分的に覆う絶縁手段と
    を備える半導体ウェハ。
  25. 前記半導体ウェハの一部分が、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置のデータユニット、およびコンピュータの内の少なくとも1つに組み込まれる、請求項24に記載の半導体ウェハ。
JP2014552358A 2012-01-13 2013-01-12 基板貫通ビアを集積回路の中間工程層に組み込むこと Active JP6012763B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261586463P 2012-01-13 2012-01-13
US61/586,463 2012-01-13
US201261671607P 2012-07-13 2012-07-13
US61/671,607 2012-07-13
US13/724,038 US8975729B2 (en) 2012-01-13 2012-12-21 Integrating through substrate vias into middle-of-line layers of integrated circuits
US13/724,038 2012-12-21
PCT/US2013/021342 WO2013106796A1 (en) 2012-01-13 2013-01-12 Integrating through substrate vias into middle-of-line layers of integrated circuits

Publications (3)

Publication Number Publication Date
JP2015505171A true JP2015505171A (ja) 2015-02-16
JP2015505171A5 JP2015505171A5 (ja) 2015-06-18
JP6012763B2 JP6012763B2 (ja) 2016-10-25

Family

ID=48779411

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014552358A Active JP6012763B2 (ja) 2012-01-13 2013-01-12 基板貫通ビアを集積回路の中間工程層に組み込むこと

Country Status (7)

Country Link
US (1) US8975729B2 (ja)
EP (2) EP3731265B1 (ja)
JP (1) JP6012763B2 (ja)
KR (1) KR101548664B1 (ja)
CN (1) CN104067383B (ja)
ES (1) ES2829898T3 (ja)
WO (1) WO2013106796A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
CN104637861A (zh) * 2013-11-11 2015-05-20 上海华虹宏力半导体制造有限公司 硅通孔工艺方法
US9620454B2 (en) 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods
US20160079167A1 (en) * 2014-09-12 2016-03-17 Qualcomm Incorporated Tie-off structures for middle-of-line (mol) manufactured integrated circuits, and related methods
US9653399B2 (en) 2015-02-13 2017-05-16 Qualcomm Incorporated Middle-of-line integration methods and semiconductor devices
KR102411064B1 (ko) * 2015-03-10 2022-06-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그의 제조방법
US10748906B2 (en) 2015-05-13 2020-08-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR102366804B1 (ko) 2015-05-13 2022-02-25 삼성전자주식회사 반도체 소자의 제조 방법
US9761509B2 (en) 2015-12-29 2017-09-12 United Microelectronics Corp. Semiconductor device with throgh-substrate via and method for fabrication the semiconductor device
KR102495587B1 (ko) 2016-01-12 2023-02-03 삼성전자주식회사 관통 비아 구조체를 갖는 반도체 소자
US10199315B2 (en) 2016-08-29 2019-02-05 Globalfoundries Inc. Post zero via layer keep out zone over through silicon via reducing BEOL pumping effects
US10049981B2 (en) * 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US9768133B1 (en) 2016-09-22 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
KR102406583B1 (ko) 2017-07-12 2022-06-09 삼성전자주식회사 반도체 장치
CN107958194B (zh) * 2017-08-17 2021-11-19 柳州梓博科技有限公司 光电传感装置及电子设备
CN113228240A (zh) * 2018-10-31 2021-08-06 浜松光子学株式会社 镶嵌配线构造、致动装置、和镶嵌配线构造的制造方法
US11495559B2 (en) * 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US11355464B2 (en) * 2020-11-10 2022-06-07 Nanya Technology Corporation Semiconductor device structure with bottle-shaped through silicon via and method for forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0926726A1 (en) * 1997-12-16 1999-06-30 STMicroelectronics S.r.l. Fabrication process and electronic device having front-back through contacts for bonding onto boards
US20070184654A1 (en) * 2006-02-03 2007-08-09 Salman Akram Methods for fabricating and filling conductive vias and conductive vias so formed

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545581A (en) 1994-12-06 1996-08-13 International Business Machines Corporation Plug strap process utilizing selective nitride and oxide etches
JP4322508B2 (ja) 2003-01-15 2009-09-02 新光電気工業株式会社 半導体装置の製造方法
JP4878434B2 (ja) * 2004-09-22 2012-02-15 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7564115B2 (en) * 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
US7615480B2 (en) 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
EP2306506B1 (en) 2009-10-01 2013-07-31 ams AG Method of producing a semiconductor device having a through-wafer interconnect
JP5537197B2 (ja) 2010-03-12 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8405222B2 (en) 2010-06-28 2013-03-26 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with via and method of manufacture thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0926726A1 (en) * 1997-12-16 1999-06-30 STMicroelectronics S.r.l. Fabrication process and electronic device having front-back through contacts for bonding onto boards
US20070184654A1 (en) * 2006-02-03 2007-08-09 Salman Akram Methods for fabricating and filling conductive vias and conductive vias so formed

Also Published As

Publication number Publication date
EP2803081A1 (en) 2014-11-19
KR101548664B1 (ko) 2015-09-01
CN104067383A (zh) 2014-09-24
EP3731265A1 (en) 2020-10-28
EP3731265B1 (en) 2023-03-08
WO2013106796A1 (en) 2013-07-18
EP2803081B1 (en) 2020-08-12
ES2829898T3 (es) 2021-06-02
KR20140117521A (ko) 2014-10-07
CN104067383B (zh) 2017-04-12
US8975729B2 (en) 2015-03-10
US20130181330A1 (en) 2013-07-18
JP6012763B2 (ja) 2016-10-25

Similar Documents

Publication Publication Date Title
JP6012763B2 (ja) 基板貫通ビアを集積回路の中間工程層に組み込むこと
JP5706055B2 (ja) Tsvの歪緩和のための構造および方法
JP6049877B2 (ja) 集積回路のウェハ裏面の層からの基板貫通ビアの統合
JP6068492B2 (ja) 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ
CN104733435B (zh) 3dic互连装置和方法
JP5497756B2 (ja) 半導体素子の製造方法および半導体素子
US20100164062A1 (en) Method of manufacturing through-silicon-via and through-silicon-via structure
US20130119547A1 (en) Integrated circuit device including through-silicon via structure having offset interface
US9583434B2 (en) Metal line structure and method
CN104040684B (zh) 厚的片上高性能布线结构
US20130140688A1 (en) Through Silicon Via and Method of Manufacturing the Same
US8692359B2 (en) Through silicon via structure having protection ring
JP2007059826A (ja) 半導体集積回路装置およびその製造方法
JP2013074275A (ja) 半導体装置の製造方法
US20150017798A1 (en) Method of manufacturing through-silicon-via
TW201250970A (en) Method for fabricating through-silicon via structure
TW201327762A (zh) 矽貫穿電極以及其形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150422

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150422

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20150422

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20150624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160307

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160822

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160920

R150 Certificate of patent or registration of utility model

Ref document number: 6012763

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250