JP2014197676A - 電力制御モードのためのチャンバマッチング - Google Patents

電力制御モードのためのチャンバマッチング Download PDF

Info

Publication number
JP2014197676A
JP2014197676A JP2014044455A JP2014044455A JP2014197676A JP 2014197676 A JP2014197676 A JP 2014197676A JP 2014044455 A JP2014044455 A JP 2014044455A JP 2014044455 A JP2014044455 A JP 2014044455A JP 2014197676 A JP2014197676 A JP 2014197676A
Authority
JP
Japan
Prior art keywords
plasma chamber
test
plasma
variable
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014044455A
Other languages
English (en)
Other versions
JP2014197676A5 (ja
JP6404580B2 (ja
Inventor
リュック・アルバレード
Albarede Luc
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014197676A publication Critical patent/JP2014197676A/ja
Publication of JP2014197676A5 publication Critical patent/JP2014197676A5/ja
Application granted granted Critical
Publication of JP6404580B2 publication Critical patent/JP6404580B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】チャンバマッチングを実行するためのシステムおよび方法を提供する。
【解決手段】変数を測定するために第1のプラズマチャンバ内で第1の試験を実行し、変数を測定するために第2のプラズマチャンバ内で第2の試験を実行することを備える。第1および第2の試験は、1つのレシピに基づいて実行される。さらに、第1の試験で測定された変数と、第1の試験中に提供された電力との間の第1の関係を決定し、第2の試験で測定された変数と、第2の試験中に提供された電力との間の第2の関係を決定し、第1および第2の関係に基づいて、後続処理動作中に第2のプラズマチャンバに適用する電力調整を特定することを備える。電力調整は、第2のプラズマチャンバに、第1のプラズマチャンバを用いて決定された処理条件で処理動作を実行させる。
【選択図】図1

Description

本実施形態は、電力制御モードを用いたチャンバマッチングに関する。
プラズマチャンバは、様々な目的に用いられる。例えば、基板のエッチング、基板の洗浄、基板上への層の蒸着、または、基板に対する他の処理の実行のために、プラズマがプラズマチャンバ内で生成される。
様々なチャンバが基板を処理するために用いられる。また、基板の処理には同じチャンバが用いられる。異なるチャンバが用いられる場合、基板は、実質的に均一には処理されえない。例えば、或るプラズマチャンバを用いてエッチングされる基板は、別のプラズマチャンバを用いてエッチングされる別の基板と異なる速度でエッチングされうる。別の例として、或るプラズマチャンバで処理された基板上には、別のプラズマチャンバで基板上に蒸着されるよりも実質的に多い量の材料が蒸着されうる。
本開示の実施形態は、このような課題に対処するものである。
本開示の実施形態は、電力制御モードを用いてチャンバマッチングを行うための装置、方法、および、コンピュータプログラムを提供する。本実施形態は、処理、装置、システム、デバイス、または、コンピュータ読み取り可能な媒体に記録された方法など、種々の形態で実施できることを理解されたい。以下に、いくつかの実施形態を記載する。
一部の実施形態において、チャンバ間マッチングを実行するための方法が開示されている。その方法は、変数を測定するために、第1のプラズマチャンバ内で第1の試験を実行し、変数を測定するために、第2のプラズマチャンバ内で第2の試験を実行することを備える。第1および第2の試験は、1つのレシピに基づいて実行される。方法は、さらに、第1の試験で測定された変数と、第1の試験中に提供された電力との間の第1の関係を決定し、第2の試験で測定された変数と、第2の試験中に提供された電力との間の第2の関係を決定し、第1および第2の関係に基づいて、後続処理動作中に第2のプラズマチャンバに適用する電力調整を特定することを備える。電力調整は、第2のプラズマチャンバに、第1のプラズマチャンバを用いて決定された処理条件で処理動作を実行させる。
様々な実施形態において、チャンバ内マッチングを実行するための方法が開示されている。この方法は、プラズマチャンバに関する変数を測定するために第1の試験を実行することを備える。プラズマチャンバは、第1の試験の実行中に第1の条件にある。この方法は、さらに、プラズマチャンバに関する変数を測定するために第2の試験を実行することを備える。プラズマチャンバは、第2の試験の実行中に第2の条件にある。方法は、第1の試験を用いて測定された変数と、第1の試験中に提供された電力との間の第1の関係を決定し、第2の試験を用いて測定された変数と、第2の試験中に提供された電力との間の第2の関係を決定し、第1および第2の関係に基づいて、後続処理動作中にプラズマチャンバに適用する電力調整を特定することを備える。電力調整は、プラズマチャンバに処理条件で処理動作を実行させる。処理条件は、プラズマチャンバが第1の条件にある時に決定された条件である。
いくつかの実施形態において、チャンバ間マッチングを実行するためのプラズマシステムが開示されている。プラズマシステムは、変数を測定するための第1の試験の実行に用いられる第1のプラズマチャンバと、RF信号を第1のプラズマチャンバに伝達するために第1のプラズマチャンバに接続されている高周波(RF)伝送線路と、RF伝送線路に接続されたインピーダンス整合回路と、を備える。プラズマシステムは、さらに、RF信号を供給するためにインピーダンス整合回路に接続されているRF発生器と、変数を測定するための第2の試験の実行に用いられる第2のプラズマチャンバと、を備える。第1および第2の試験は、1つのレシピに基づいて実行される。第2の試験は、第1のプラズマチャンバをRF伝送線路から切り離して第2のプラズマチャンバをRF伝送線路に接続した後に実行される。プラズマシステムは、さらに、プロセッサを備えているホストシステムを備える。ホストシステムは、RF発生器に接続されている。プロセッサは、第1の試験で測定された変数と、第1の試験中に提供された電力との間の第1の関係を決定し、第2の試験で測定された変数と、第2の試験中に提供された電力との間の第2の関係を決定し、第1および第2の関係に基づいて、後続処理動作中に第2のプラズマチャンバに適用する電力調整を特定するよう構成されている。電力調整は、第2のプラズマチャンバに、第1のプラズマチャンバを用いて決定された処理条件で処理動作を実行させる。
いくつかの実施形態において、チャンバ内マッチングを実行するためのプラズマシステムが開示されている。プラズマシステムは、変数を測定するための第1の試験の実行に用いられるプラズマチャンバを備える。プラズマチャンバは、第1の試験の実行中に第1の条件にある。プラズマチャンバは、変数を測定するための第2の試験の実行に用いられる。また、プラズマチャンバは、第2の試験の実行中に第2の条件にある。プラズマシステムは、さらに、RF信号をプラズマチャンバに伝達するためにプラズマチャンバに接続されている高周波(RF)伝送線を備える。プラズマシステムは、さらに、RF伝送線路に接続されているインピーダンス整合回路と、RF信号を供給するためにインピーダンス整合回路に接続されているRF発生器と、プロセッサを備えていると共にRF発生器に接続されているホストシステムと、を備える。プロセッサは、第1の試験を用いて測定された変数と、第1の試験中に提供された電力との間の第1の関係を決定し、第2の試験を用いて測定された変数と、第2の試験中に提供された電力との間の第2の関係を決定し、第1および第2の関係に基づいて、後続処理動作中にプラズマチャンバに適用する電力調整を特定するよう構成されている。電力調整は、プラズマチャンバに処理条件で処理動作を実行させる。処理条件は、プラズマチャンバが第1の条件にある時に決定された条件である。
一部の実施形態において、変数(例えば、電圧、電流など)の関数と電力との間の第1の関係が生成される。変数は、プラズマチャンバ内のギャップから測定され、電力は、プラズマチャンバの電極に供給された電力、または、電極に伝達された電力である。さらに、変数の関数と電力との間の第2の関係が生成される。第2の関係は、第1の関係を生成するために用いたのと同じプラズマチャンバを用いて生成されてもよいし、第1の関係を生成するために用いたのと別のプラズマチャンバを用いて生成されてもよい。第2の関係は、第1および第2の関係の間の差を決定するために、第1の関係と比較される。その差は、第2の関係から第1の関係を達成するため、または、第1の関係から第2の関係を達成するために低減される。例えば、第1の関係を生成するために用いられたプラズマチャンバに提供されたのと同じ量の電力が、第2の関係を生成するために用いられた別のプラズマチャンバに提供される。別の例として、第1の関係を生成するために用いられたプラズマチャンバに提供される電力が、第2の関係を達成するために修正される。この例では、第1および第2の関係の両方が、同じプラズマチャンバを用いて生成される。
上述の実施形態のいくつかの利点は、電力制御モードを用いてチャンバ間マッチングまたはチャンバ内マッチングを実行することを含む。例えば、第2の関係を達成するために、第1の関係が修正された場合、第1の関係を生成するために用いられたプラズマチャンバは、第2の関係を達成するように動作される。第1の関係を生成するために用いられたプラズマチャンバが、第2の関係を達成するように動作されると、第1および第2の関係を生成するために用いられたプラズマチャンバでウエハを処理する際に、実質的な均一性が実現される。
さらに、第1の関係を生成するために用いられたプラズマチャンバの条件は、経時的に変化しうる。条件の変化の結果として、第1の関係を生成するために用いられたプラズマチャンバは、ウエハ上に目に見える異なる結果を生成しうる。例えば、第1の関係を生成するために用いられたプラズマチャンバでウエハが洗浄される時、洗浄処理が、プラズマチャンバの条件を変化させる。洗浄後、第1の関係を生成するために用いられたプラズマチャンバが、別のウエハを洗浄するために用いられる時、他のウエハは、他のウエハの前に洗浄されたウエハと同じ程度(例えば、レベル、アスペクト比など)まで洗浄されえない。経時的にウエハの処理にばらつきが生じると、第1の関係を生成するために用いられたプラズマチャンバに接続された高周波(RF)伝送線路において、伝送線路を介して伝達されるRF電力の損失が経時的に変化する。第1の関係の生成に用いられたプラズマチャンバで第2の関係を達成するために第1の関係を修正することには、ウエハの処理の非均一性を低減する利点がある。修正が実行されると、損失の差が補償される。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
実施形態は、添付の図面に関連して行う以下の説明を参照することによって最も良好に理解できる。
本開示に記載の一実施形態に従って、電力制御モードを用いたチャンバ間マッチングを説明するためのグラフを示す図。
本開示に記載の一実施形態に従って、電力制御モードを用いたチャンバ内マッチングを説明するためのグラフの一実施形態を示す図。
本開示に記載の一実施形態に従って、静電チャック(ESC)内のプローブを用いて、変数の関数とプラズマチャンバに伝達された電力との間の1または複数の関係を生成するためのプラズマシステムを示すブロック図。
本開示に記載の一実施形態に従って、高周波トンネル内のプローブを用いて、変数の関数とプラズマチャンバに伝達された電力との間の1または複数の関係を生成するためのプラズマシステムを示すブロック図。
本開示に記載の一実施形態に従って、コンピュータ生成モデルを用いてESCモデルでの変数の値を決定するためのホストシステムを示すブロック図。
本開示に記載の一実施形態に従って、図3のプラズマシステムのプラズマチャンバと、別のプラズマチャンバとの間のチャンバ間マッチングを説明するためにプラズマシステムを示すブロック図。
本開示に記載の一実施形態に従って、無プラズマ試験中の電圧および圧力の間の関係を説明するために用いられるグラフを示す図。
本開示に記載された一実施形態に従って、チャンバ間マッチングを実行するためのレシピを示す図。
本開示に記載された一実施形態に従って、チャンバ内マッチングを実行するためのレシピを示す図。
本開示に記載の一実施形態に従って、3つのプラズマチャンバの間の関係の差異を説明するために用いられるグラフを示す図。
本開示に記載のいくつかの実施形態を適用した後の3つのプラズマチャンバの間の関係の類似性を説明するために用いられるグラフの一実施形態を示す図。
以下の実施形態は、電力制御モードを用いたチャンバマッチングのためのシステムおよび方法を記載する。本実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1は、電力制御モードを用いたチャンバ間マッチングを説明するためのグラフ102の一実施形態を示す図である。電力制御モードを用いたチャンバ間マッチングでは、プラズマチャンバ1を用いて測定された変数の関数と、プラズマチャンバ1に関連する電力との間の関係が決定される。また、プラズマチャンバ2を用いて測定された変数の関数と、プラズマチャンバ2に関連する電力との間の関係が決定される。
変数の例としては、電流、電圧、反射係数などが挙げられる。変数の関数の例としては、変数の二乗、変数の平方根、変数のべき関数などが挙げられる。
一部の実施形態において、プラズマチャンバ2は、プラズマチャンバ1と同じ構造を有する。例えば、プラズマチャンバ2は、プラズマチャンバ1の対応する要素と同じ寸法を有する要素を備える。別の例として、プラズマチャンバ2は、プラズマチャンバ1の対応する要素と同じタイプを有する要素を備える。例示すると、トランス結合プラズマ(TCP)チャンバは、誘導結合プラズマ(ICP)チャンバの1または複数の要素と異なるタイプの1または複数の要素を有しており、TCPおよびICPチャンバは共に、電子サイクロトロン共鳴(ECR)プラズマチャンバの1または複数の要素と異なるタイプの1または複数の要素を有する。
プラズマチャンバの要素の例としては、上側電極、下側電極、上側プラズマ排除区域(PEZ)リング、下側PEZリング、閉じ込めリングアセンブリ、エッジリング、絶縁層、ガス分配開口部、プラズマチャンバの壁、上側電極を囲む上側誘電体リング、上側誘電体リングを囲む上側電極延長部、下側電極を囲む下側誘電体リング、下側誘電体リングを囲む下側電極延長部などが挙げられる。様々な実施形態において、下側電極および下側電極延長部は、陽極酸化アルミニウム、アルミニウム合金などの金属で製造される。また、一部の実施形態において、上側電極および上側電極延長部は、アルミニウム、アルミニウム合金などの金属で製造される。いくつかの実施形態において、上側電極は、下側電極に対向して配置され、上側電極延長部は、上側電極に対向して配置される。
要素の寸法の例としては、要素のサイズ、要素の長さ、要素の奥行き、要素の幅、要素の表面積、要素の占める体積などが挙げられる。
異なるタイプの要素の例としては、プレート電極、電気コイル電極などが挙げられる。
様々な実施形態において、プラズマチャンバ2は、プラズマチャンバ1と構造的に同一であり、プラズマチャンバ1と異なる識別コードを有する。例えば、エンティティは、識別コードaaaaを用いてプラズマチャンバ1を識別し、識別コードbbbbを用いてプラズマチャンバ2を識別する。
いくつかの実施形態において、プラズマチャンバ2は、プラズマチャンバ1と構造的に同一であり、プラズマチャンバ1と同じ機能を実行するために用いられる。プラズマチャンバによって実行される機能の例としては、物理蒸着(PVD)、化学蒸着(CVD)、プラズマCVD(PECVD)、金属CVD、高密度プラズマCVD(HDP−CVD)機能、フォトレジスト剥離機能、フォトレジスト表面準備、紫外線熱処理(UVTP)などが挙げられる。
様々な実施形態において、プラズマチャンバ2は、プラズマチャンバ1と構造的および機能的に同一であり、プラズマチャンバ1と異なる識別コードを有する。
プラズマチャンバ1に伝達される電力が、プラズマチャンバ2を用いて生成された関係を達成するために調整される。いくつかの実施形態では、プラズマチャンバ2に関連する関係を達成するためにプラズマチャンバ1に伝達される電力を調整する代わりに、プラズマチャンバ2に伝達される電力が、プラズマチャンバ1を用いて生成された関係を達成するために調整される。
いくつかの実施形態において、プラズマチャンバ1が、プラズマチャンバ2よりも歩留まりの高い良好なチャンバである時、プラズマチャンバ2に伝達される電力が、プラズマチャンバ1を用いて生成された関係を達成するために調整される。また、プラズマチャンバ2が、プラズマチャンバ1よりも歩留まりの高い良好なチャンバである時、プラズマチャンバ1に伝達される電力が、プラズマチャンバ2を用いて生成された関係を達成するために調整される。
様々な実施形態において、プラズマチャンバが別のプラズマチャンバよりも高い歩留まりを有するのは以下の場合である。歩留まりの高いプラズマチャンバを用いてウエハをエッチングし、歩留まりの低いプラズマチャンバを用いて達成されるよりも高いアスペクト比を達成した場合、歩留まりの高いプラズマチャンバを用いて、歩留まりの低いプラズマチャンバを用いて洗浄されたものよりも清浄なウエハが得られた場合、歩留まりの高いプラズマチャンバが、歩留まりの低いプラズマチャンバよりも高速でエッチングする場合、歩留まりの高いプラズマチャンバが、歩留まりの低いプラズマチャンバよりも高速でウエハを洗浄する場合、歩留まりの高いプラズマチャンバが、歩留まりの低いプラズマチャンバよりも高速でウエハを処理する場合、または、これらの組み合わせ。
グラフ102において、変数の関数はy軸に沿ってプロットされ、電力はx軸に沿ってプロットされている。いくつかの実施形態において、x軸に沿ってプロットされた電力は、伝達電力であり、プラズマチャンバに伝達された電力である。一例として、伝達電力は、供給電力および反射電力の間の差である。供給電力は、高周波(RF)発生器によってプラズマチャンバに供給された電力であり、反射電力は、プラズマチャンバからRF発生器に向かって反射された電力である。様々な実施形態において、x軸に沿ってプロットされる電力は、伝達電力ではなく供給電力である。
グラフ102の実線101は、プラズマチャンバ1を用いてプロットされる。例えば、プラズマチャンバ1に伝達された電力は、x軸に沿ってプロットされる。さらに、RF発生器とプラズマチャンバ1内のウエハとの間に配置された要素上の点で電流が測定され、電流の二乗がy軸に沿ってプロットされる。別の例として、電流の代わりに、電圧がその点で測定され、電圧の二乗がy軸上にプロットされる。電圧の二乗は、実線101を生成するために、プラズマチャンバ1に伝達された電力に対してプロットされる。
いくつかの実施形態では、実線101で示される関係を得るために、プラズマチャンバ1を用いて、無プラズマ試験が実行される。例えば、プラズマチャンバ1内でのプラズマの点火を避けるために、圧力の大きさが、プラズマチャンバ1内で閾値より低くまたは閾値より高く維持される。別の例として、無プラズマ試験中、RF発生器が、インピーダンス整合回路およびRF伝送線路を介してプラズマチャンバの下側電極に供給されるRF信号を生成する。この例では、最小限の量のプラズマが、プラズマチャンバ内で点火される。
RF発生器およびウエハの間に配置された電気的要素は、RF発生器をプラズマチャンバに接続する要素を含む。RF発生器およびウエハの間の要素の例としては、RFケーブル、インピーダンス整合回路、RF伝送線路、および、静電チャック(ESC)が挙げられる。RFケーブルは、RF発生器をインピーダンス整合回路に接続し、インピーダンス整合回路は、RF伝送線路を介してプラズマチャンバのESCに接続される。
グラフ102の破線103は、グラフ102の実線101がプラズマチャンバ1についてプロットされたのと同様に、プラズマチャンバ2を用いてプロットされる。例えば、プラズマチャンバ2に伝達された電力は、x軸に沿ってプロットされる。さらに、RF発生器とプラズマチャンバ2内のウエハとの間に配置された要素上の点で電流が測定され、電流の二乗がy軸に沿ってプロットされる。別の例として、電流の代わりに、電圧がその点で測定され、電圧の二乗がy軸上にプロットされる。電圧の二乗は、破線103を生成するために、プラズマチャンバ2に伝達された電力に対してプロットされる。
いくつかの実施形態では、破線103で示される関係を得るために、プラズマチャンバ2を用いて、無プラズマ試験が実行される。例えば、プラズマチャンバ2内でのプラズマの点火を避けるために、圧力の大きさが、プラズマチャンバ2内で閾値より低くまたは閾値より高く維持される。
変数関数(可変関数)1(VF1)(例えば、電流値の二乗、電圧値の二乗など)から実線101上の点109を通過して破線103上の点111に交わるように、線が水平に引かれている。点111からx軸上の点P2まで垂直に線が引かれている。点P2は、P2の電力値を有する。
変数関数1を達成するためにプラズマチャンバ1に電力P1を提供(例えば、伝達、供給など)する代わりに、変数関数1を達成するために電力P2をプラズマチャンバ1に提供する。例えば、プラズマチャンバ2のより高い歩留まりを達成するには、変数関数1を達成するためにプラズマチャンバ1に電力P1を提供する代わりに、変数関数1を達成するためにプラズマチャンバ1に電力P2を提供する。別の例として、電力P1が変数関数1を達成するためにプラズマチャンバに提供される時に、変数関数1を達成すると共にプラズマチャンバ2のより高い歩留まりを達成するためにプラズマチャンバ1に電力P2を提供する。同様に、いくつかの実施形態において、変数関数1を達成するためにプラズマチャンバ2に電力P2を提供する代わりに、変数関数1を達成するためにプラズマチャンバ2に電力P1を提供する。
いくつかの実施形態において、提供される電力は、伝達電力または供給電力である。
様々な実施形態において、変数関数1に対応する電力P2を決定するためにグラフ102内で水平および/または垂直に線を引く代わりに、実線101の傾き1および破線103の傾き2が計算される。変数関数1を達成するためにプラズマチャンバ1に提供される電力P1に傾き1および傾き2の比を掛けて、プラズマチャンバ1に電力P2を提供する。例えば、破線103で示されるプラズマチャンバ2の高歩留まりの関係が達成される時、変数関数1を達成するためにプラズマチャンバ1に電力P1を提供する代わりに、傾き1および傾き2の比を電力値P1に掛けることによって生成された電力値(例えば、電力値P2)が、変数関数1を達成するためにプラズマチャンバ1に提供される。
一部の実施形態において、プラズマチャンバ1に関連する関係が達成される時、例えば、プラズマチャンバ1のより高い歩留まりを達成すると共に変数関数1を達成するために、電力値P2に傾き2および傾き1の比を掛けて、プラズマチャンバ2に提供される電力値P1を生成する。
いくつかの実施形態において、「電力値P1」および「電力P1」という用語は、交換可能に用いられている。様々な実施形態において、「電力値P2」および「電力P2」という用語は、交換可能に用いられている。
傾き1および傾き2の各々は、変数が電圧である場合に変数が測定されるプラズマシステム内の点でのインピーダンスを提供することに注意されたい。さらに、傾き1および傾き2の各々は、変数が電流である場合に変数が測定されるプラズマシステム内の点でのインピーダンスの逆数を提供することに注意されたい。
図2は、電力制御モードを用いたチャンバ内マッチングを説明するためのグラフ104の一実施形態を示す図である。チャンバ内マッチングでは、変数の関数が、y軸に沿ってプロットされ、プラズマチャンバ1に提供される電力が、プラズマチャンバ1の2つの異なる条件に対して同じプラズマチャンバ1についてx軸に沿ってプロットされる。2つの異なる条件は、条件1および条件2を含む。
実線105は、プラズマチャンバ1が条件1にある時のプロットである。実線105は、条件1下で、変数の関数とプラズマチャンバ1に伝達された電力との間の関係を示す。さらに、破線107は、プラズマチャンバ1が条件2にある時のプロットである。破線107は、条件2下で、変数の関数とプラズマチャンバ1に伝達された電力との間の関係を示す。
一部の実施形態において、条件2は、条件1の発生時刻とは異なる時刻に発生する条件である。例えば、条件2は、プラズマチャンバ1の1または複数の要素の腐食後に発生し、条件1は、腐食前に発生する。
いくつかの実施形態において、条件2は、プラズマチャンバ1の使用後に発生する条件であり、条件1は、使用前に発生する条件である。プラズマチャンバ1は、使用前には条件1にある。例えば、プラズマチャンバ1は、ウエハの湿式洗浄を実行するために用いられた後、湿式洗浄の実行前とは異なる条件(条件2)を有する。別の例において、プラズマチャンバ1は、ウエハをエッチングするために用いられた後、エッチングの実行前とは異なる条件(条件2)を有する。さらに別の例として、1または複数のガス(例えば、処理ガス、不活性ガス、それらの組み合わせなど)がプラズマチャンバ1内に供給された後、プラズマチャンバ1は、ガスの供給前とは異なる条件を有する。
プラズマチャンバ1が条件1にある時、電力がプラズマチャンバ1に提供され、電力はx軸に沿ってプロットされ、プラズマチャンバ1に接続されたRF発生器とプラズマチャンバ1内のウエハとの間の点で変数が測定され、変数の関数がy軸に沿ってプロットされる。実線105を用いて示された関係は、プラズマチャンバ1が条件1にある時に生成されたものである。
同様に、プラズマチャンバ1が条件2にある時、プラズマチャンバ1に電力を提供し、電力をx軸に沿ってプロットし、プラズマチャンバ1に接続されたRF発生器とプラズマチャンバ内のウエハとの間の点で変数を測定し、変数の関数を計算し、電力に対して関数をプロットすることによって、破線107を用いて示された関係が生成される。
さらに、プラズマチャンバ1および2の場合に上述したのと同様に、電力値P2が、変数関数1に基づいて生成される。例えば、実線105上の変数関数1に対応する点113から破線107上の点115に交わるように、線が水平に引かれる。変数関数1は、点113および115の両方で達成される。点115からx軸上の点P2(例えば、電力値P2)まで、線が垂直に引かれる。
電力値P2は、変数関数1を達成するために電力値P1を提供する代わりに、プラズマチャンバ1が条件1にある時に、プラズマチャンバ1に提供される。
一部の実施形態において、プラズマチャンバ1の条件1は、プラズマチャンバ1の条件2よりも高い歩留まりをもたらすことに注意されたい。様々な実施形態において、プラズマチャンバ1の条件2は、プラズマチャンバ1の条件1よりも高い歩留まりをもたらす。
様々な実施形態において、点113から水平に線を引き、さらに、点115からx軸に向かって垂直に線を引くことによって、電力値P2を生成する代わりに、点115から実線105に向かって点113で実線105と交差するように水平に線を引き、実線105上の点113から電力値P1まで垂直に線を引くことによって、電力値P1が生成される。電力値P1は、変数関数1を達成するために電力値P2を提供する代わりに、条件2下でプラズマチャンバ1に提供される。
いくつかの実施形態において、プラズマチャンバ1が条件1にあるか条件2にあるかに関わらず、線105および107で示した関係を得るために、無プラズマ試験がプラズマチャンバ1を用いて実行される。例えば、プラズマチャンバ1内でのプラズマの点火を避けるために、プラズマチャンバ1内の圧力が、閾値より低いまたは閾値より高い大きさに維持される。
さらに、いくつかの実施形態では、水平および/または垂直に線を引く代わりに、実線105の傾き1および破線107の傾き2が計算される。電力値P2は、傾き2に対する傾き1の比を電力値P1に掛けることによって決定される。電力値P2は、条件1下で電力値P1を提供する代わりに、条件1下でプラズマチャンバ1に提供される。
様々な実施形態において、傾き1および傾き2の比から電力値P2を決定する代わりに、傾き1に対する傾き2の比を電力値P2に掛けることによって、電力値P1が決定され、条件2下でプラズマチャンバ1に提供される。電力値P1は、条件2下で電力値P2を提供する代わりにプラズマチャンバ1に提供される。
図3は、ESC126内のプローブ124を用いて、変数の関数とプラズマチャンバ122に伝達された電力との間の1または複数の関係を生成するシステム120の一実施形態を示すブロック図である。プラズマチャンバ122は、チャンバ1の一例である。
いくつかの実施形態において、関係の1つ(例えば、実線101を用いて示した関係(図1)、実線150を用いて示した関係(図2)など)が、プラズマチャンバ122の条件1中に生成され、別の関係(例えば、破線107を用いて示した関係(図2)など)が、プラズマチャンバ122の条件2中に生成される。
ホストシステム128が、1または複数のRF発生器(例えば、xメガヘルツ(MHz)RF発生器、yMHzRF発生器、zMHzRF発生器など)に接続されている。xMHz発生器は、2MHzRF発生器であってよく、yMHzRF発生器は、27MHzRF発生器であってよく、zMHzRF発生器は、60MHzRF発生器であってよい。
ホストシステム128の例は、コンピュータ、プロセッサベースのシステム、ワークステーション、ラップトップ、デスクトップ、タブレット、携帯電話などを含む。
本明細書に用いられているように、プロセッサは、特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)、マイクロプロセッサ、中央処理装置(CPU)などであってよい。
いくつかの実施形態において、2MHzRF発生器の代わりに、異なる周波数のRF発生器(例えば、3MHzRF発生器、4MHzRF発生器、5MHzRF発生器など)を用いてもよい。同様に、異なる周波数のRF発生器が、yおよびzMHzRF発生器の代わりに用いられてもよい。
いくつかの実施形態において、xMHzRF発生器は、27MHzRF発生器であってもよい。これらの実施形態において、yおよびzMHzRF発生器は、2および60MHzRF発生器である。
いくつかの実施形態において、xMHzRF発生器は、60MHzRF発生器であってもよい。これらの実施形態において、yおよびzMHzRF発生器は、2および27MHzRF発生器である。
プラズマチャンバ122が条件1にある時に、ホストシステム128は、電力値および動作周波数をxMHzRF発生器のデジタル信号プロセッサ(DSP)130に送信する。DSP130は、xMHzRF発生器のドライバ・増幅器システム(DAS)132に、電力値および周波数を送信する。
DAS132は、電力値および周波数を受信し、その電力値および周波数を有するRF信号を生成する。RF信号は、RFケーブル134およびマッチボックス(インピーダンス整合回路)136を介して供給される。
一部の実施形態において、DAS132は、DASのドライバで生成されたRF信号を増幅して、増幅RF信号を生成し、増幅RF信号は、RFケーブル134を介してマッチボックス136に供給される。
様々な実施形態において、実線101(図1)、実線105(図2)、破線103(図1)、および/または、破線107(図2)を生成するために、RF信号がxMHzRF発生器によって生成される時、yおよびzMHzRF発生器は、RF信号を発生させない。例えば、yおよびzMHzRF発生器は、xMHzRF発生器がRF信号を生成するために用いられる時に、オフにされる。
インピーダンス整合回路は、電子回路素子、例えば、インダクタ、コンデンサなどを備えており、インピーダンス整合回路に接続された電源のインピーダンスを、インピーダンス整合回路に接続された負荷のインピーダンスと整合させる。例えば、マッチボックス136は、x、y、および、zMHzRF発生器の内の動作する1または複数の発生器を含む電源のインピーダンス、ならびに、RFケーブル134、136、および、138の内の1または複数のケーブルのインピーダンスを、RF伝送線路140およびプラズマチャンバ122のインピーダンスと整合する。RFケーブル134、136、および、138は、x、y、および、zMHzRF発生器にマッチボックス134を接続する。電源および負荷の間のインピーダンス整合がなされると、負荷から電源に電力が反射される可能性が低減される。
RF伝送線路140は、マッチボックス136およびプラズマチャンバ122に接続されている。RF伝送線路140は、RFトンネル144に接続されたRFケーブル142を含んでおり、RFトンネル144は、RFストラップ148に接続されている。RFトンネル144内には、絶縁体150およびRFロッド152がある。絶縁体150は、RFトンネル144の被覆から、RFロッド152を絶縁する。RFロッド152は、コネクタ146を介して、RFストラップ(RFスプーンとも呼ぶ)148に接続されている。RFストラップ148は、ESC126の下側電極に接続されている。
ウエハ154(例えば、半導体ウエハ)が、ESC126の上面156上に支持される。集積回路(例えば、特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)など)が、ウエハ154上に製造され、それらの集積回路は、様々なデバイス、例えば、携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ、ネットワーク装置などで利用される。上側電極158が、ESC126の下側電極と対向している。
プラズマチャンバ122は、プラズマチャンバ122内で生成されたプラズマを閉じ込める壁W1、W2、W3、および、W4を有する。一部の実施形態において、プラズマチャンバ122は、5以上の壁を含むことに注意されたい。いくつかの実施形態において、プラズマチャンバ122の壁は、隣接する壁に垂直であってよい。様々な実施形態において、プラズマチャンバ122の壁は、プラズマチャンバ122の隣接する壁と垂直でない角度を形成してもよい。
プローブ124は、ESC126内に埋め込まれている。いくつかの実施形態において、ESC126内に埋め込む代わりに、プローブ124は、ESC126に接続される。プローブ124は、プラズマチャンバ122内に配置されてもよい。
プローブ124の例としては、電流を測定する電流プローブ、電圧を測定する電圧プローブ、ならびに、電圧および電流を測定する電圧・電流プローブが挙げられる。プローブ124は、ホストシステム128に接続されている。例えば、プローブ124は、ホストシステム128のアナログデジタル変換器に接続されており、アナログデジタル変換器は、ホストシステム128のプロセッサに接続されている。
一部の実施形態では、プローブ124の代わりに、2つのプローブが用いられる。例えば、電圧を測定する電圧プローブおよび電流を測定する電流プローブが、プローブ124の代わりに用いられる。
xMHzRF発生器によって生成されたRF信号は、RFケーブル134、マッチボックス136、RFケーブル142、RFロッド152、コネクタ146、および、RFストラップ148を介してESC126の下側電極に伝達される。
一部の実施形態において、上側電極158は、中央ガス供給部(図示せず)に接続されたガス分配開口部を備える。中央ガス供給部は、1または複数のガス(例えば、処理ガス、不活性ガス、それらの組み合わせなど)をガス供給源(図示せず)から受け入れる。処理ガスの例としては、酸素含有ガス(Oなど)が挙げられる。処理ガスの他の例は、フッ素含有ガス、例えば、テトラフルオメタン(CF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)などを含む。上側電極158は、接地されている。
xMHz発生器によって生成されたRF信号がプラズマチャンバ122に提供されると、無プラズマ試験が実行される。例えば、条件1にあるプラズマチャンバ122内で、圧力の大きさが、プラズマチャンバ122内でのプラズマの点火を避けるように維持される。別の例としては、プラズマチャンバ122内の圧力を閾値未満に維持するために、ガスが供給されないか、または、限られた量のガスが供給される。無プラズマ試験中、最小限の量のプラズマがプラズマチャンバ122内で生成される。
無プラズマ試験中、プローブ124は、変数を測定し、その測定値をホストシステム128に送信する。ホストシステム128は、変数の測定値を変換し、ホストシステム128のアナログデジタル変換器は、アナログ形式からデジタル形式に測定値を変換する。いくつかの実施形態において、プローブ124は、ホストシステム128に測定値を送信する前に、測定値をアナログからデジタル形式に変換する。
ホストシステム128のプロセッサは、変数の測定値に基づいて変数の関数を生成する。例えば、ホストシステム128のプロセッサは、変数の二乗を算出する。センサ160(例えば、電圧・電流センサ、電力センサなど)は、RFケーブル134に接続されており、xMHzRF発生器によって供給された電力である供給電力を測定するか、または、供給電力とRFケーブル134を介してプラズマチャンバ122からxMHzRF発生器に反射された電力との差である伝達電力を測定する。提供された電力の測定値は、センサ160によってDSP130を介してホストシステム128のプロセッサに送信される。次いで、その例では、プロセッサは、変数の関数と、或る期間にわたる提供電力との間の関係(例えば、実線101で示された関係(図1)、実線105で示された関係(図2)など)を決定する。
一部の実施形態では、センサ160が供給電力および反射電力の両方を測定する代わりに、センサ160は反射電力を測定し、供給電力は、ホストシステム128によってDSP130に送信された電力値である。
また、関係が決定された後にプラズマチャンバ122の条件が変化した場合、無プラズマ試験が実行される。例えば、条件2にあるプラズマチャンバ122内で、圧力の大きさが、プラズマチャンバ122内でのプラズマの点火を避けるように維持される。プローブ124は、プラズマの変数を測定し、センサ160は、供給電力および反射電力を測定する。
条件が変化した後、ホストシステム128のプロセッサは、プローブ124から変数の測定値を受信し、DSP130を介して供給電力および反射電力の測定値を受信し、変数の測定値から変数の関数を計算し、供給電力および反射電力から伝達電力を決定し、或る期間にわたる変数の関数と伝達電力との間の関係(例えば、破線107に示した関係(図2)など)を決定する。
一部の実施形態において、条件2中の関係を決定するために伝達電力を用いる代わりに、供給電力が用いられる。例えば、供給電力と変数の関数との間の関係が決定される。
ウエハ154の後続処理の間または前に、ホストシステム128のプロセッサは、図2を参照して上述したように、プラズマチャンバ122のチャンバ間マッチングを実行する。例えば、プロセッサは、傾き1および傾き2(図2)を計算し、傾き2および傾き2の比を計算し、その比を電力値P1に掛けて、電力値P2を算出する。別の例として、傾き1に対する傾き2の比(図2)を決定する代わりに、プロセッサは、傾き2に対する傾き1の比(図2)を計算し、その比を電力値P2に掛けて、電力値P1を算出する。さらに別の例として、条件1中に変数関数1を達成するために、プロセッサは、点113から点115で破線107と交わるように水平に線を引く(図2)。次いで、その例において、プロセッサは、交点115から電力値P2でx軸(図2)と交わるように垂直に線を引いて、条件1に対する電力値P2を決定する。別の例として、条件2中に変数関数1を達成するために、プロセッサは、点115から点113で実践105と交わるように水平に線を引く(図2)。プロセッサは、実線105上の交点113からx軸上の電力値P1の点と交わるように垂直に線を引いて、条件2に対する電力値P1を決定する。ウエハ154の後続処理は、グラフ102および/またはグラフ104(図1および2)を得た後に行われる。
ウエハ154の処理の例としては、ウエハ洗浄、ウエハ154上への材料の蒸着、ウエハ154のエッチング、ウエハ154のスパッタリング、ウエハ154へのプラズマの印加などが挙げられる。
一部の実施形態において、ウエハ154の後続処理中、条件(例えば、条件1、条件2など)下にあるプラズマチャンバ122に提供される電力値(例えば、電力値P1、電力値P2など)が、ホストシステム128の記憶装置から特定(例えば、読み出しなど)される。記憶装置については、後に詳述する。後続処理中に、その電力値を有するRF信号が供給され、処理ガスがプラズマチャンバに供給されると、プラズマがプラズマチャンバ122内で点火される。プラズマは、ウエハ154を処理するために用いられる。
様々な実施形態において、処理条件が、ウエハ154の後続処理中に達成される。例えば、条件2でプラズマチャンバ122を用いる後続処理中に、電力値P1および変数関数1が達成される。別の例として、条件1でプラズマチャンバ122を用いる後続処理中に、電力値P2および変数関数1が達成される。
x軸に沿ってプロットされる電力値が伝達電力値である一部の実施形態において、ウエハ154の後続処理中に、プラズマチャンバ122が条件1にあり、条件2に関連する電力値P2がプラズマ処理チャンバ122に伝達される場合、ホストシステム128のプロセッサは、伝達電力値P2を達成するための供給電力値を決定し、伝達電力値P1を達成するための供給電力値を送信する代わりに、プラズマチャンバ122の条件1中に伝達電力P2を達成するための供給電力値を送信する。例えば、プロセッサは、DSP130に電力値を供給し、DSP130は、その電力値をDAS132に送信する。DAS132は、電力値に基づいてRF信号を生成し、そのRF信号をプラズマチャンバ122に供給する。RF信号がプラズマチャンバ122に供給されると、センサ160は、反射電力を測定し、ホストシステム128のプロセッサに測定値を送信する。ホストシステム128のプロセッサは、RF信号の反射電力および供給電力の測定値から伝達電力を計算し、伝達電力が電力値P2に等しいか否かを判定する。伝達電力値がP2に等しいと判定されると、ホストシステム128のプロセッサは、伝達電力P2に対応するRF信号を供給し続けるために、伝達電力値P2を達成するための供給電力値をDSP130に送信し続ける。一方で、伝達電力が電力値P2に一致するまで、供給電力値を変化させ、供給電力および反射電力を測定し、伝達電力を計算する動作が、繰り返される。
同様に、x軸に沿ってプロットされる電力値が伝達電力値である様々な実施形態において、ウエハ154の後続処理中に、プラズマチャンバ122が条件2にあり、条件1に関連する電力値P1がプラズマ処理チャンバ122に伝達される場合、ホストシステム128のプロセッサは、伝達電力値P1を達成するための供給電力値を決定し、伝達電力値P2を達成するための供給電力値を送信する代わりに、プラズマチャンバ122の条件2中に伝達電力値P1を達成するための供給電力値を送信する。
x軸に沿ってプロットされる電力値が供給電力値である実施形態において、ウエハ154の後続処理中に、プラズマチャンバ122が条件1にあり、条件2に関連する電力値P2がプラズマチャンバ122に供給される場合、ホストシステム128のプロセッサは、変数関数1を達成するために条件1中に電力値P1を送信する代わりにプラズマチャンバ124の条件1中に電力値P2を送信し、xMHzRF発生器は、電力値P1を有するRF信号を供給する代わりに電力値P2を有するRF信号を供給する。
同様に、x軸に沿ってプロットされる電力値が供給電力値である多くの実施形態において、ウエハ154の後続処理中に、プラズマチャンバ122が条件2にあり、条件1に関連する電力値P1がプラズマチャンバ122に供給される場合、ホストシステム128のプロセッサは、変数関数1を達成するために条件2中に電力値P2を送信する代わりにプラズマチャンバ124の条件2中に電力値P1を送信し、xMHzRF発生器は、電力値P2を有するRF信号を供給する代わりに電力値P1を有するRF信号を供給する。
いくつかの実施形態において、ホストシステム128と共にプローブ124を用いる代わりに、ネットワークアナライザまたはインピーダンススキャナが、プローブ124の代わりに用いられる。例えば、ネットワークアナライザは、ネットワークアナライザに接続されたRFプローブを備えており、さらに、ホストシステム128に接続されている。RFプローブは、小さいマイクロ波アンテナであってよい。ネットワークアナライザは、RFプローブに電気信号を供給する。電気信号を受信すると、RFプローブは、プラズマチャンバ122にマイクロ波信号を送る。マイクロ波信号は、プラズマチャンバ122内でプラズマと相互作用し、RFプローブによって検出される。RFプローブは、検出されたマイクロ波を電気信号に変換する。ネットワークアナライザは、電気信号から反射係数を決定し、反射係数をホストシステム128に送信する。ホストシステム128のプロセッサは、経時的な反射係数の変化を追跡し続け、グラフ(例えば、グラフ102(図1)、グラフ104(図2)など)を生成して、反射係数とESC126の下側電極に提供された電力との間の関係を決定する。次いで、関係は、条件(例えば、条件1、条件2など)を達成するため、または、チャンバ間マッチングを実行するために、下側電極に提供される電力を決定する際に、ホストシステム128のプロセッサによって利用される。
いくつかの実施形態において、ネットワークアナライザまたはインピーダンススキャナが用いられる場合、無プラズマ試験がプラズマチャンバ122内で実行される。
図4Aは、RFトンネル176内のプローブ124を用いて、変数の関数とプラズマチャンバ123に伝達された電力との間の1または複数の関係を生成するプラズマシステム172の一実施形態を示すブロック図である。プラズマシステム172は、プラズマチャンバ123がESC126内にプローブ124を持たないことを除いては、プラズマシステム120(図3)と同様に動作する。その代わり、プラズマシステム172は、RFトンネル176の絶縁体内にプローブ124を備える。プローブ124は、RFロッド152の出力に接続されている。
RFロッド152の出力は、コネクタ146を介してRFストラップ148の入力に接続されている。RFロッド152の入力は、RFケーブル142の出力に接続されている。RFケーブル142の入力は、マッチボックス136に接続されている。RFストラップ148の出力は、プラズマチャンバ123のESC127の下側電極に接続されている。ESC127は、プローブ124(図3)を持たないことを除いては、ESC126(図3)と同様の構造および機能を有する。
一部の実施形態において、プローブ124は、RFロッド152と接続され、RFトンネル176の外側に配置される。
RFケーブル142、RFロッド152、RFトンネル176、コネクタ146、および、RFストラップ148は、ESC127の下側電極にマッチボックス136を接続するRF伝送線路178の一部である。
プローブ124は、xMHzRF発生器がプラズマチャンバ123に電力を供給した時に、変数を測定する。電力は、RFケーブル134、マッチボックス136、および、RF伝送線路178を介してプラズマチャンバ123に供給される。
一部の実施形態において、変数は、プラズマチャンバ123内でプラズマを点火するために、処理ガスがプラズマチャンバ123に供給されると共にRF信号がプラズマチャンバ123に供給された時に、プラズマチャンバ123から測定される。
いくつかの実施形態において、変数は、無プラズマ試験中に測定される。例えば、変数は、RF信号がプラズマチャンバ123に供給され、ガスがプラズマチャンバに供給され、プラズマチャンバ123内でのプラズマ点火を避けるよう、または、プラズマチャンバ123内で最小限の量のプラズマを点火するように、圧力の大きさが閾値より低くまたは高くに維持されている時に、プラズマチャンバ123から測定される。
変数の測定値は、プローブ124によってホストシステム128に送信される。変数の測定値を受信すると、ホストシステム128のアナログデジタル変換器は、アナログ形式からデジタル形式へ測定値を変換し、デジタルの測定値をホストシステム128のプロセッサに送信する。ホストシステム128のプロセッサは、RF伝送線路178および/またはESC127の1または複数の部分のコンピュータ生成モデルをデジタル形式の測定値に適用して、ESC127における変数を決定する。コンピュータ生成モデルについては後述する。
一部の実施形態において、ホストシステム128内でアナログからデジタル形式への変換を実行する代わりに、プローブ124が、変数のアナログ測定値をデジタル形式に変換し、ホストシステム128のプロセッサにデジタル測定値を送信する。
図4Bは、コンピュータ生成モデルを用いてESCモデル182での変数の値を決定するためのホストシステム180の一実施形態を示すブロック図である。ホストシステム180は、プロセッサ184と、記憶装置186(例えば、ランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、または、それらの組み合わせ)と、を備える。一部の実施形態において、記憶装置186は、ハードディスク、フラッシュメモリ、ディスクアレイなどである。ホストシステム180は、ホストシステム128(図3)の一例である。記憶装置186は、プロセッサ184に接続されており、ケーブルモデル188、トンネルモデル190、ストラップモデル192、および、ESCモデル182を格納する。
ケーブルモデル188はRFケーブル142(図4A)のコンピュータ生成モデルであり、トンネルモデル190はRFトンネル176(図4A)のコンピュータ生成モデルであり、ストラップモデル192はRFストラップ148(図4A)のコンピュータ生成モデルであり、ESCモデル182はESC127(図4A)のコンピュータ生成モデルである。例えば、トンネルモデル189は、RFロッド152と同様の特性(例えば、静電容量、インダクタンス、複素電力、複素電圧および電流など)を有する。別の例として、トンネルモデル190は、RFロッド152と同じ静電容量、インダクタンス、抵抗、または、それらの組み合わせを有する。さらに別の例として、ストラップモデル192は、RFロッド148(図4A)と同じ静電容量、インダクタンス、抵抗、または、それらの組み合わせを有する。別の例として、ESCモデル182は、ESC127(図4A)と同じ静電容量、インダクタンス、抵抗、または、それらの組み合わせを有する。
プロセッサ184は、プローブ124(図4A)から変数の測定値を受信する。プロセッサ184は、プローブ124から受信した変数の測定値ならびにストラップモデル192およびESCモデル182の特性に基づいて、ESCモデル182の出力における変数の値を決定する。例えば、プロセッサ184は、ESCモデル182の出力における電圧および電流を決定するために、RFロッド152(図4A)の出力における電流の大きさを受信し、RFロッド152の出力における電圧の大きさを受信し、電圧と電流との間の位相を受信し、電流、電圧、および、位相の有向和と、ストラップモデル192およびESCモデル182の静電容量、インダクタンス、および/または、抵抗によって生成される電流および電圧とを決定する。ストラップモデル192およびESCモデル182の静電容量、インダクタンス、および/または、抵抗によって生成された電流および電圧は、電圧の大きさ、電流の大きさ、および、電流の位相を含む。一部の実施形態において、抵抗は位相を持たないため、電流の大きさおよび電圧の大きさによって定義される。
プロセッサ184は、プローブ124からの変数の測定値の代わりに、ESCモデル182の出力における変数の値を用いて、変数の関数を算出することにより、変数の関数とプラズマチャンバ122(図4A)に提供される電力との関係をさらに決定する。その関係に基づいて、プロセッサ184は、電力値P1または電力値P2を算出する。
様々な実施形態において、プローブ124は、RFロッド152の出力の代わりに、RFロッド152の入力に接続される。これらの実施形態において、プロセッサ184は、プローブ124から受信した変数の測定値と、トンネルモデル190、ストラップモデル192、および、ESCモデル182の特性とに基づいて、ESCモデル182の出力における変数の値を決定する。
様々な実施形態において、プローブ124は、xMHzRF発生器およびウエハ154(図4A)の間の任意の点に接続される。例えば、プローブ124は、RFケーブル134(図4A)に接続される。これらの実施形態において、その点とウエハ154との間のプラズマシステム172(図4A)の要素のコンピュータ生成モデルが生成され、ESC127のコンピュータ生成モデルにおける電圧が、プローブ124で測定された電圧と、その点およびウエハ154の間の要素のインピーダンスとに基づいて決定される。例えば、プローブ124がRFケーブル134上の点に接続された場合、その点からマッチボックス136までのRFケーブル134の一部の間にあるプラズマシステム172の要素のコンピュータ生成モデル、マッチボックス136のコンピュータモデル、RFケーブル142のコンピュータモデル、RFロッド152のコンピュータモデル、RFストラップ148のコンピュータモデル、および、ESC127のコンピュータモデルが生成される。この例では、ESCモデル182における変数が、その点で測定された変数と、RFケーブル134(図4A)の一部のコンピュータ生成モデル、マッチボックス136のコンピュータ生成モデル、ケーブルモデル188、トンネルモデル190、ストラップモデル192、および、ESCモデル182のインピーダンスとに基づいて決定される。別の例として、プローブ124がxMHzRF発生器の出力に接続された場合、RFケーブル134のコンピュータモデル、マッチボックス136のコンピュータモデル、RF伝送線路178のコンピュータモデル、および、ESC127のコンピュータモデルが生成される。xMHzRF発生器の出力は、RFケーブル134(図4A)の入力に接続される。この例では、xMHzRF発生器の出力における変数が測定され、ウエハ154における変数が、測定された変数と、RFケーブル134、マッチボックス136、RF伝送線路178、および、ESC127の要素(例えば、抵抗器、コンデンサ、インダクタなど)のインピーダンスとに基づいて決定される。
様々な実施形態において、ESCモデル182は、ESC127(図4A)における変数の決定に用いられない。例えば、プローブ124がRFケーブル134上の点に接続された場合、その点からマッチボックス136までのRFケーブル134の一部の間にあるプラズマシステム172の要素のコンピュータモデル、マッチボックス136のコンピュータモデル、RFケーブル142のコンピュータモデル、RFロッド152のコンピュータモデル、および、RFストラップ148のコンピュータモデルが生成される。この例では、ESCモデル182における電圧が、その点で測定された電圧と、RFケーブル134の一部のコンピュータ生成モデル、マッチボックス136のコンピュータ生成モデル、ケーブルモデル188、トンネルモデル190、および、ストラップモデル192のインピーダンスとに基づいて決定される。
図5は、プラズマチャンバ122(図3)と、プラズマチャンバ202(チャンバ2の一例)との間のチャンバ間マッチングを説明するためにプラズマシステム200の一実施形態を示すブロック図である。システム200は、プラズマチャンバ122の代わりにプラズマチャンバ202を備えることを除けば、システム120(図3)と同様である。例えば、プラズマチャンバ202は、プラズマチャンバ122と異なる識別コードを有しており、プラズマチャンバ202および122は両方とも同じ構造および/または機能を有する。別の例として、プラズマチャンバ122の1または複数の要素が、プラズマチャンバ202の1または複数の要素に置き換えられている。さらに別の例として、ESC204が、プラズマチャンバ122のESC126と置き換えられている。一部の実施形態において、プラズマチャンバ122の1または複数の要素と置き換わったプラズマチャンバ202の1または複数の要素は、プラズマチャンバ122の1または複数の要素と同じ構造および/または機能を有する。例えば、ESC204は、ESC126と同じ寸法を有する。別の例として、ESC204は、ESC126と同じ要素(例えば、ヒータ、断熱材、セラミック支持体など)を備える。さらに別の例として、ESC204は、ESC126と同じ特性(例えば、インダクタンス、静電容量、抵抗、それらの組み合わせなど)を有する。別の例として、ESC204および126にはいずれも、RF発生器によって電力が提供される。別の例として、プラズマチャンバ202および122は両方とも同じタイプである。説明すると、TCPチャンバはICPチャンバと異なるタイプであり、TCPおよびICPチャンバはいずれもECRプラズマチャンバと異なるタイプである。
一部の実施形態において、プラズマチャンバ122はプラズマチャンバ1の一例であり、プラズマチャンバ202はプラズマチャンバ2の一例である。
一部の実施形態において、プラズマチャンバ122は、プラズマチャンバ202をRF伝送線路140に接続するために、RF伝送線路140から切り離される。ウエハ154は、ESC204の上面206上に支持される。上面206は、上側電極158と対向している。
一部の実施形態において、破線103で示した関係(図1)は、プラズマチャンバ202を用いて生成される。
プローブ124は、ESC126内にプローブ124を埋め込むのと同様の方法で、ESC204内に埋め込まれる。いくつかの実施形態では、プローブ124をESC204内に埋め込む代わりに、プローブ124は、ESC204に接続され、ESC204の外側に配置される。
プラズマチャンバ202を用いた無プラズマ試験の実行中、ホストシステム128は、プラズマチャンバ202に供給すべきRF信号の電力量および周波数を決定する。電力量および周波数は、入力値としてDSP130を介してDAS132に送信される。DAS132は、その電力量および周波数を有するRF信号を生成する。RF信号は、xMHzRF発生器によって、RFケーブル134、マッチボックス136、および、RF伝送線路140を介して、ESC204の下側電極に供給される。処理ガスがプラズマチャンバ202に供給され、電力がRF信号によってESC204の下側電極に供給されると、プラズマがプラズマチャンバ202内で生成される。
上述のように、無プラズマ試験が実行されるいくつかの実施形態では、電力がRF信号によってESC204の下側電極に供給され、閾値より低いまたは閾値より高い圧力の大きさがプラズマチャンバ202内で維持されている時に、最小限の量のプラズマがプラズマチャンバ内で点火される。
無プラズマ試験中、プローブ124は、プラズマチャンバ202のプラズマに関する変数を測定し、その測定値をホストシステム128に送信する。ホストシステム128のプロセッサは、変数の測定値から変数の関数を算出する。ホストシステム128のプロセッサは、変数の関数およびxMHzRF発生器によってプラズマチャンバ202に供給された電力から関係(例えば、グラフ102の破線103で示した関係(図1)など)を決定する。
一部の実施形態において、無プラズマ試験中に変数の関数と供給電力との間の関係を決定する代わりに、ホストシステム128のプロセッサは、無プラズマ試験中に変数の関数とプラズマチャンバ202に伝達された電力との間の関係を決定する。例えば、センサ160は、プラズマチャンバ202からxMHHzRF発生器に反射した電力を測定する。センサ160は、DSP130を介してホストシステム128のプロセッサに反射電力の測定値を送信する。ホストシステム128のプロセッサは、供給電力と反射電力との差を算出して伝達電力を決定し、さらに、変数の関数と伝達電力との間の関係(グラフ102の破線103で示した関係)を決定する。
プラズマチャンバ122(図3)が良好なチャンバである場合、ホストシステム128のプロセッサは、変数関数1に対応する破線103(図1)上の点111から点109で実線101と交わるように水平に線を引く。ホストシステム128のプロセッサは、交点109から電力値P1でx軸と交わるようにx軸に向かって垂直に線を引く。
ウエハ154の後続処理中に、変数関数1を達成するために、DSP130を介してDAS132に電力値P2を送信する代わりに、ホストシステム128のプロセッサは、変数関数1を達成するために、電力値P1を特定して、DSP130を介してDAS132に送信する。例えば、ホストシステム128のプロセッサは、記憶装置186(図4B)から、電力値P2に関連付けられた電力値P1を特定する(例えば、読み出す、など)。電力値P1およびP2は、変数関数1を達成するものとして互いに関連付けられる。電力値P1を受信すると、DAS132は、電力値P1を有するRF信号を生成し、RFケーブル134、マッチボックス136、および、RF伝送線路140を介して、ESC204の下側電極に、そのRF信号を送信する。記憶装置186から読み出されて、変数関数1を達成するために用いられる電力値P1、および、変数関数1は、処理条件の例である。
一部の実施形態において、記憶装置186から読み出されて、変数関数1を達成するために用いられる電力値P2、および、変数関数1は、処理条件の例である。
RF信号がESC204の下側電極に提供されると共に、処理ガスがプラズマチャンバ202に供給されると、処理(例えば、エッチング、洗浄、蒸着など)をウエハ154に対して実行するために、プラズマがプラズマチャンバ202内で点火される。また、電力値P2の代わりに電力値P1を有するRF信号がESC204の下側電極に提供されると、下側電極に提供される電力は、値P2から値P1に調整されて、変数関数1を達成する。
一部の実施形態において、ホストシステム128のプロセッサは、グラフ102の破線103を用いて示した関係(図1)から傾き2を決定する。ホストシステム128のプロセッサは、さらに、実線101を用いて示した関係(図1)から傾き1を決定する。変数が電圧である場合、ホストシステム128のプロセッサは、電力値P1を達成すると共に、変数関数1を達成するために、傾き2に対する傾き1の比を算出し、傾き2に対する傾き1の比を電力値P2に掛ける。
ウエハ154の後続処理中、変数関数1を達成するために、xMHzRF発生器を制御して電力値P2を供給する代わりに、ホストシステム128のプロセッサは、変数関数1を達成するために、xMHzRF発生器を制御して電力値P1を供給する。電力値P1を有するRF信号を生成する旨の指示をホストシステム128のプロセッサからDSP130を介して受信すると、DAS132は、電力値P1を有するRF信号を生成し、RFケーブル134、マッチボックス136、および、RF伝送線路140を介して、ESC204の下側電極に、そのRF信号を供給する。
グラフ102に示した関係(図1)が変数の関数と伝達電力との間の関係である様々な実施形態において、ウエハ154の後続処理中、ホストシステム128のプロセッサは、P1の伝達電力値を達成すると共に変数関数1を達成するために、供給電力値を決定する。プロセッサは、その電力値をDSP130を介してDAS132に提供する。DAS132は、変数関数1を達成するために、伝達電力値P1に対応する供給電力値を有するRF信号を生成する。RF信号は、xMHzRF発生器によって、RFケーブル134、マッチボックス136、および、RF伝送線路140を介して、プラズマチャンバ202の下側電極に供給される。
一部の実施形態において、ESC204内のプローブ124を用いる代わりに、プローブ124は、RFロッド152における電圧を測定するために、RFトンネル144に埋め込まれる、および/または、RFロッド152に接続される。RFロッド152、RFストラップ148、および、ESC204のコンピュータ生成モデルが、ESC204における変数を決定するために用いられる。ESC204における変数は、RFトンネル155内に埋め込まれたプローブ124を用いて測定された変数と、RFロッド152、RFストラップ148、および、ESC204の要素のインピーダンスとに基づいて決定される。いくつかの実施形態において、ESC204のコンピュータ生成モデルを用いる代わりに、ESC204における変数は、RFロッド152およびRFストラップ148のコンピュータ生成モデルと、RFトンネル155内に埋め込まれたプローブ124を用いて測定された変数とに基づいて決定される。
一部の実施形態において、プローブ124は、xMHzRF発生器とウエハ154との間の点に接続され、その点とプラズマチャンバ204との間の要素のコンピュータ生成モデルが、ESC204における変数を決定するために用いられる。例えば、プローブ124は、RFケーブル134における変数を決定するために、RFケーブル134に接続される。さらに、マッチボックス136、RF伝送線路140、および、ESC204のコンピュータ生成モデルが、ESC204における変数を決定するために用いられる。別の例として、プローブ124は、RFケーブル134における変数を決定するために、RFケーブル134に接続される。さらに、マッチボックス136およびRF伝送線路140のコンピュータ生成モデルが、ESC204における変数を決定するために用いられる。
いくつかの実施形態において、グラフ102または104(図1および図2)を用いて示した関係を決定するために、システム200のxMHzRF発生器を用いてプラズマチャンバ202に電力を供給する場合、yおよびzMHzRF発生器はオフにされることに注意されたい。同様に、グラフ102または104を用いて示した関係を決定するために、システム200のyMHzRF発生器を用いてプラズマチャンバ202に電力を供給する場合、xおよびzMHzRF発生器はオフにされる。また、グラフ102または104を用いて示した関係を決定するために、システム200のzMHzRF発生器を用いてプラズマチャンバ202に電力を供給する場合、xおよびyMHzRF発生器はオフにされる。
図6は、無プラズマ試験中の電圧および圧力の間の関係を説明するために用いられるグラフ210の一実施形態を示す図である。グラフ210は、y軸に沿って電圧をプロットし、x軸に沿って圧力をプロットする。圧力が閾値th1より低い時、最小限の量のプラズマがプラズマチャンバ内で生成される。一部の実施形態において、閾値th1より低い圧力は、処理ガスを供給しないことによって、または、超低流量(例えば、0.2標準立方センチメートル毎分(sccm)未満)で処理ガスを供給することによって達成される。同様に、圧力が閾値th2より高い時、プラズマが生成されないか、または、最小限の量のプラズマがプラズマチャンバ内で生成される。一部の実施形態において、閾値th2より高い圧力は、超高圧(例えば、7Torr以上)、最大達成可能流量などで、ガスを供給することによって達成される。プラズマが生成されない時、または、最小限の量のプラズマがプラズマチャンバ内で生成される時に、プラズマチャンバは、無プラズマ試験を実行するために用いられる。
一部の実施形態において、ホストシステム128のプロセッサは、ガス容器(図示せず)から上側電極158(図3、図4A、および、図5)のガス流入口へのガスの供給を調節するガス供給バルブ(図示せず)を制御する。例えば、プロセッサは、ガスの供給を制御する量だけバルブを開閉するために、電流を供給するドライバ(例えば、トランジスタなど)を制御する。また、供給の制御は、ホストシステム128のプロセッサが、ガスを供給されるプラズマチャンバ内の圧力を制御することを可能にする。
図7は、チャンバ間マッチングを実行するためのレシピ212の一実施形態を示す図である。一部の実施形態において、レシピ212は、ホストシステム128(図3、図4A、および、図5)の記憶装置186に格納されたデータベースの一部である。例えば、レシピ212は、記憶装置186内にファイルの形態で格納されてよい。
レシピ212は、プラズマチャンバ内のガスの圧力、プラズマチャンバの下側電極に提供されるRF信号の電力、プラズマチャンバ内で維持される温度、RF信号の周波数、および、プラズマチャンバの下側電極および上側電極の間のギャップを含む。
一部の実施形態において、ホストシステム128(図3、図4A、および、図5)のプロセッサは、RF信号の周波数をRF発生器に送信する。RF発生器のDSPは、その周波数を受信し、その周波数をRF発生器のDASに送信する。DASは、その周波数を有するRF信号を生成し、そのRF信号をプラズマチャンバに供給する。
様々な実施形態において、上側電極は、モータ駆動ネジ機構(図示せず)を用いて上下されうる上側構造(図示せず)内に配置される。ホストシステム128のプロセッサは、ドライバによってモータ駆動ネジ機構を制御して、上側構造を上下に移動させることで、上側および下側電極の間のギャップを変化させうる。
いくつかの実施形態では、ヒータが、ESC内に備えられており、プラズマチャンバ内の温度を変化させるために、ドライバを介してホストシステム128のプロセッサによって制御される。
いくつかの実施形態において、熱伝導機構(例えば、ダクトなど)がプラズマチャンバ内に設けられており、冷却液の流れが、バルブおよびドライバを介してホストシステム128のプロセッサによって制御され、プラズマチャンバ内の温度を変化させる。
レシピ212は、プラズマチャンバ内の圧力、プラズマチャンバの下側電極に提供されるRF信号の電力、プラズマチャンバ内の温度、RF信号の周波数、および、プラズマチャンバ内の下側電極および上側電極の間のギャップを制御するために、ホストシステム128のプロセッサによって利用される。
様々な実施形態において、レシピ212に示された電力は、伝達電力または供給電力である。
レシピ212に示されているように、同じレシピ212が、チャンバ1、チャンバ2、および、チャンバ3(図示せず)に適用される。例えば、ホストシステム128のプロセッサは、同じレシピ212をチャンバ1、2、および、3に適用するために、チャンバ1、2、および、3内の圧力、チャンバ1、2、および、3に提供されるRF信号の電力、チャンバ1、2、および、3内の温度、RF信号の周波数、ならびに、チャンバ1、2、および、3の下側および上側電極の間のギャップを制御する。別の例として、レシピ212は、実線101で示された関係および破線103で示された関係(図1)を生成するために適用される。
一部の実施形態において、レシピ212をチャンバ1、2、または、3に適用している間、チャンバ内の圧力、チャンバ内の温度、チャンバに提供されるRF信号の周波数、および、チャンバの下側電極および上側電極の間のギャップは一定に維持され、RF信号の電力は、5メガワットから、10メガワット、15メガワット、20メガワットに変更される。
一部の実施形態において、チャンバ1、2、および、3内で維持されるレシピ212の圧力の大きさは、閾値th1よりも小さいか、または、閾値th2よりも大きい。
圧力、電力、温度、周波数、および、ギャップの値は、例であり、限定として解釈されるべきではないことに注意されたい。例えば、0.1Torrの圧力の代わりに、0から0.2Torrの範囲の圧力が印加される。別の例として、5、10、15、および、20メガワットの代わりに、電力は、2メガワットから、6メガワット、10メガワット、14メガワットに変更される。さらに別の例として、1から50メガワットの範囲の任意の大きさの電力が印加される。別の例として、28MHzの周波数の代わりに、27MHzの周波数が印加される。さらに別の例として、2MHzRF発生器が用いられる場合に、2または3MHzの周波数が印加されてよい。別の例として、260MHzRF発生器が用いられる場合に、55MHzから65MHzの範囲の周波数が印加される。別の例として、2センチメートルの代わりに、1から3センチメートルの間のギャップが維持される。
図8は、チャンバ内マッチングを実行するためのレシピ212の一実施形態を示す図である。レシピ212に示されているように、同じレシピ212が、チャンバ1の条件1、条件2、および、条件3中に、チャンバ1に適用される。例えば、ホストシステム128のプロセッサは、同じレシピ212をチャンバ1の条件1、2、および、3中にチャンバ1に適用するために、条件1および2中のチャンバ1内の圧力、条件1および2中にチャンバ1に提供されるRF信号の電力、条件1および2中のチャンバ1内の温度、RF信号の周波数、ならびに、条件1および2中のチャンバ1の下側および上側電極の間のギャップを制御する。別の例として、レシピ212は、実線105で示された関係および破線107で示された関係(図2)を生成するために適用される。
一部の実施形態において、レシピ212を条件1、2、および、3中にチャンバ1に適用している間、チャンバ1内の圧力、チャンバ1内の温度、チャンバ1に提供されるRF信号の周波数、および、チャンバ1の下側電極および上側電極の間のギャップは一定に維持され、RF信号の電力は、5メガワットから、10メガワット、15メガワット、20メガワットに変更される。
様々な実施形態において、条件3は、条件2の起こった後に起きる。様々な実施形態において、ウエハ154(図3、図4A、および、図5)に対する処理(例えば、エッチング、蒸着、洗浄、ガスの供給など)が、条件2中にプラズマチャンバ内で実行された後、プラズマチャンバは条件3になる。
図9Aは、3つのプラズマチャンバの間の関係の差を説明するために用いられるグラフ220の一実施形態を示す図である。グラフ220に示すように、3つの線222、224、および、226がある。各線222、224、および、226は、プラズマチャンバのESCで測定された電流の二乗と、順電力(例えば、供給電力)との間の関係を示す。線222は、プラズマチャンバ1についての関係を示し、線224は、プラズマチャンバ2についての関係を示し、線226は、良好なチャンバ(チャンバ3であってよい)についての関係を示す。上述のように、線222の傾きは、線224の傾きと異なる。また、線224の傾きは、線222および226の傾きと異なる。
良好なチャンバは、チャンバ1および2よりも高い歩留まりを提供する。線222および224によって示される関係は、線226によって示される関係を達成するために制御される。
図9Bは、本開示に記載のいくつかの実施形態を適用した後の3つのプラズマチャンバの間の関係の類似性を説明するために用いられるグラフ230の一実施形態を示す。グラフ230に示すように、3つの線232、234、および、236がある。各線232、234、および、236は、プラズマチャンバのESCで測定された電流の二乗と、順電力(例えば、供給電力)との間の関係を示す。線232は、プラズマチャンバ1についての関係を示し、線234は、プラズマチャンバ2についての関係を示し、線236は、良好なチャンバ(チャンバ3であってよい)についての関係を示す。
線236は、破線になっていることを除けば、線226(図9A)と同じである。
図に示すように、線232、234、および、236の傾きは、同じまたは実質的に同じである。
上述の動作は、平行板プラズマチャンバ(例えば、容量結合プラズマチャンバなど)に関して説明されているが、一部の実施形態において、上述の動作は、その他のタイプのプラズマチャンバ、例えば、ICPリアクタ、TCPリアクタ、導体ツール、誘電体ツールを備えるプラズマチャンバ、ECRリアクタを備えるプラズマチャンバなど、に適用されることにも注意されたい。例えば、xMHzRF発生器およびyMHzRF発生器は、ICPプラズマチャンバ内のインダクタに接続される。
また、上述の動作は、ホストシステム128(図3、図4A、および、図5)のプロセッサによって実行されると説明されているが、一部の実施形態において、動作は、ホストシステム128の1または複数のプロセッサによって、もしくは、複数のホストシステムの複数のプロセッサによって実行されてもよいことに注意されたい。
上述の実施形態は、ESCの下側電極にRF信号を提供すると共に上側電極158を接地する(図3、図4A、および、図5)が、いくつかの実施形態では、上側電極158にRF信号が提供され、下側電極が接地されることに注意されたい。
上述の実施形態は、ESCを用いて説明されているが、別のタイプのチャック(例えば、磁気チャックなど)が用いられてもよいことにも注意されたい。
さらに、いくつかの実施形態において、ホストシステム128のプロセッサによって実行される上述の動作は、DSP130(図3、図4A、および、図5)によって実行されてもよいことに注意されたい。
本明細書に記載の実施形態は、ハンドヘルドハードウェアユニット、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。実施形態は、ネットワークを通して接続された遠隔処理ハードウェアユニットによってタスクが実行される分散コンピューティング環境で実施されてもよい。
上述の実施形態を念頭に置いて、本実施形態は、コンピュータシステムに格納されたデータを含め、コンピュータに実装された様々な動作を利用できることを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。本実施形態の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本実施形態は、さらに、これらの動作を実行するためのハードウェアユニットまたは装置に関する。装置は、専用コンピュータ向けに特別に構成されてよい。専用コンピュータとして規定された場合、コンピュータは、特殊目的に含まれない他の処理、プログラム実行、または、ルーチンも実行しつつ、特殊目的のために動作することができる。一部の実施形態において、動作は、コンピュータメモリ、キャッシュに格納されたまたはネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成された汎用コンピュータで処理されてもよい。データがネットワークを介して取得されると、そのデータは、ネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
1または複数実施形態は、非一時的なコンピュータ読み取り可能媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。非一時的なコンピュータ読み取り可能媒体は、コンピュータシステムによって読み出し可能であるようにデータを格納できる任意のデータ記憶ハードウェアユニットである。非一時的なコンピュータ読み取り可能媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスク−ROM(CD−ROM)、CD−レコーダブル(CD−R)、CD−リライタブル(CD−RW)、磁気テープ、および、その他の光学式および非光学式のデータ記憶ハードウェアユニットが挙げられる。非一時的なコンピュータ読み取り可能媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含みうる。
上述の方法の動作は特定の順番で記載されているが、オーバーレイ動作の処理が望ましく実行される限りは、他のハウスキーピング動作が動作の合間に実行されてもよいし、動作が若干異なる時間に実行されるように調整されてもよいし、処理に関連した様々な間隔で処理動作が起きることを許容するシステムに分散されてもよいことを理解されたい。
本開示に記載された様々な実施形態に記載された範囲を逸脱することなしに、任意の実施形態の1または複数の特徴が、任意の他の実施形態の1または複数の特徴と組み合わされてもよい。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
インピーダンス整合回路は、電子回路素子、例えば、インダクタ、コンデンサなどを備えており、インピーダンス整合回路に接続された電源のインピーダンスを、インピーダンス整合回路に接続された負荷のインピーダンスと整合させる。例えば、マッチボックス136は、x、y、および、zMHzRF発生器の内の動作する1または複数の発生器を含む電源のインピーダンス、ならびに、RFケーブル134、13、および、138の内の1または複数のケーブルのインピーダンスを、RF伝送線路140およびプラズマチャンバ122のインピーダンスと整合する。RFケーブル134、13、および、138は、x、y、および、zMHzRF発生器にマッチボックス13を接続する。電源および負荷の間のインピーダンス整合がなされると、負荷から電源に電力が反射される可能性が低減される。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
適用例1:チャンバ間マッチングを実行するための方法であって、変数を測定するために、第1のプラズマチャンバ内で第1の試験を実行し、前記変数を測定するために、第2のプラズマチャンバ内で第2の試験を実行し、前記第1および第2の試験は1つのレシピに基づいて実行され、前記第1の試験で測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、前記第2の試験で測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、前記第1および第2の関係に基づいて、後続処理動作中に前記第2のプラズマチャンバに適用する電力調整を特定することを備え、前記電力調整は、前記第2のプラズマチャンバに、前記第1のプラズマチャンバを用いて決定された処理条件で前記処理動作を実行させる、方法。
適用例2:適用例1に記載の方法であって、前記変数は、電流または電圧である、方法。
適用例3:適用例1に記載の方法であって、前記変数は、前記第1のプラズマチャンバ内に配置されたチャックに接続されたプローブを用いて、前記第1の試験中に測定される、方法。
適用例4:適用例1に記載の方法であって、前記変数は、前記第2のプラズマチャンバ内に配置されたチャックに接続されたプローブを用いて、前記第2の試験中に測定される、方法。
適用例5:適用例1に記載の方法であって、前記変数は、高周波(RF)発生器とウエハとの間の点に接続されたプローブを用いて前記第1の試験中に測定され、前記RF発生器は、RFケーブルを介してインピーダンス整合回路に接続され、前記インピーダンス整合回路は、RF伝送線路を介して前記第1のプラズマチャンバに接続され、前記ウエハは、前記チャックの表面上に載置される、方法。
適用例6:適用例1に記載の方法であって、前記レシピは、前記第1のプラズマチャンバ内の圧力、前記第1のプラズマチャンバ内の温度、前記第1のプラズマチャンバに提供されるRF信号の電力、前記RF信号の周波数、および、前記第1のプラズマチャンバの上側電極と前記第1のプラズマチャンバの下側電極との間のギャップを含む、方法。
適用例7:適用例1に記載の方法であって、前記レシピは、前記第2のプラズマチャンバ内の圧力、前記第2のプラズマチャンバ内の温度、前記第2のプラズマチャンバに提供されるRF信号の電力、前記RF信号の周波数、および、前記第2のプラズマチャンバの上側電極と前記第2のプラズマチャンバの下側電極との間のギャップを含む、方法。
適用例8:適用例1に記載の方法であって、前記第1の関係は直線関係である、方法。
適用例9:適用例1に記載の方法であって、前記第2の関係は直線関係である、方法。
適用例10:適用例1に記載の方法であって、前記電力調整は、前記第1の試験中に提供された前記電力と、前記第2の試験中に提供された前記電力との差である、方法。
適用例11:適用例1に記載の方法であって、前記後続処理動作は、前記第2のプラズマチャンバ内に配置されたウエハのエッチング、蒸着、または、洗浄を含む、方法。
適用例12:適用例1に記載の方法であって、前記処理条件は、前記変数の関数の値を含み、前記値は、或る量の前記電力が前記第1の試験中に前記プラズマチャンバに提供された時に、前記第1の試験中に達成された値である、方法。
適用例13:適用例1に記載の方法であって、前記第1のプラズマチャンバは、前記第2のプラズマチャンバより高い歩留まりを有する、方法。
適用例14:適用例1に記載の方法であって、前記第1および第2の試験の各々は、無プラズ試験を含む、方法。
適用例15:適用例14に記載の方法であって、前記無プラズマ試験中、前記第1のプラズマチャンバ内でのプラズマの点火を防ぐように、前記第1のプラズマチャンバ内の圧力の大きさが制御され、前記第2のプラズマチャンバ内でのプラズマの点火を防ぐように、前記第2のプラズマチャンバ内の圧力の大きさが制御される、方法。
適用例16:チャンバ内マッチングを実行するための方法であって、プラズマチャンバに関する変数を測定するために第1の試験を実行し、前記プラズマチャンバは、前記第1の試験の実行中に第1の条件にあり、前記プラズマチャンバに関する前記変数を測定するために第2の試験を実行し、前記プラズマチャンバは、前記第2の試験の実行中に第2の条件にあり、前記第1の試験を用いて測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、前記第2の試験を用いて測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、前記第1および第2の関係に基づいて、後続処理動作中に前記プラズマチャンバに適用する電力調整を特定することを備え、前記電力調整は、前記プラズマチャンバに、前記プラズマチャンバが前記第1の条件にある時に決定された処理条件で前記処理動作を実行させる、方法。
適用例17:適用例16に記載の方法であって、前記第2の条件は、前記第1の条件の発生後に発生する、方法。
適用例18:適用例16に記載の方法であって、前記第2の条件は、前記プラズマチャンバ内に配置されたウエハに対して処理を実行した後に発生し、前記第1の条件は、前記処理を実行する前に発生する、方法。
適用例19:チャンバ間マッチングを実行するためのプラズマシステムであって、変数を測定するための第1の試験の実行に用いられる第1のプラズマチャンバと、RF信号を前記第1のプラズマチャンバに伝達するために前記第1のプラズマチャンバに接続されている高周波(RF)伝送線路と、前記RF伝送線路に接続されているインピーダンス整合回路と、前記RF信号を供給するために前記インピーダンス整合回路に接続されているRF発生器と、前記変数を測定するための第2の試験の実行に用いられる第2のプラズマチャンバと、前記第1および第2の試験は、1つのレシピに基づいて実行され、前記第2の試験は、前記第1のプラズマチャンバを前記RF伝送線路から切り離して前記第2のプラズマチャンバを前記RF伝送線路に接続した後に実行され、プロセッサを備え、前記RF発生器に接続されているホストシステムと、を備え、
前記プロセッサは、前記第1の試験で測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、前記第2の試験で測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、前記第1および第2の関係に基づいて、後続処理動作中に前記第2のプラズマチャンバに適用する電力調整を特定するよう構成されており、前記電力調整は、前記第2のプラズマチャンバに、前記第1のプラズマチャンバを用いて決定された処理条件で前記処理動作を実行させる、プラズマシステム。
適用例20:チャンバ内マッチングを実行するためのプラズマシステムであって、変数を測定するための第1の試験の実行に用いられるプラズマチャンバと、前記プラズマチャンバは、前記第1の試験の実行中に第1の条件にあり、前記プラズマチャンバは、前記変数を測定するための第2の試験の実行に用いられ、前記プラズマチャンバは、前記第2の試験の実行中に第2の条件にあり、RF信号を前記プラズマチャンバに伝達するために前記プラズマチャンバに接続されている高周波(RF)伝送線路と、前記RF伝送線路に接続されているインピーダンス整合回路と、前記RF信号を供給するために前記インピーダンス整合回路に接続されているRF発生器と、プロセッサを備え、前記RF発生器に接続されているホストシステムと、を備え、
前記プロセッサは、前記第1の試験を用いて測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、前記第2の試験を用いて測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、前記第1および第2の関係に基づいて、後続処理動作中に前記プラズマチャンバに適用する電力調整を特定するよう構成されており、前記電力調整は、前記プラズマチャンバに、前記プラズマチャンバが前記第1の条件にある時に決定された処理条件で前記処理動作を実行させる、プラズマシステム。

Claims (20)

  1. チャンバ間マッチングを実行するための方法であって、
    変数を測定するために、第1のプラズマチャンバ内で第1の試験を実行し、
    前記変数を測定するために、第2のプラズマチャンバ内で第2の試験を実行し、前記第1および第2の試験は1つのレシピに基づいて実行され、
    前記第1の試験で測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、
    前記第2の試験で測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、
    前記第1および第2の関係に基づいて、後続処理動作中に前記第2のプラズマチャンバに適用する電力調整を特定することを備え、前記電力調整は、前記第2のプラズマチャンバに、前記第1のプラズマチャンバを用いて決定された処理条件で前記処理動作を実行させる、方法。
  2. 請求項1に記載の方法であって、前記変数は、電流または電圧である、方法。
  3. 請求項1に記載の方法であって、前記変数は、前記第1のプラズマチャンバ内に配置されたチャックに接続されたプローブを用いて、前記第1の試験中に測定される、方法。
  4. 請求項1に記載の方法であって、前記変数は、前記第2のプラズマチャンバ内に配置されたチャックに接続されたプローブを用いて、前記第2の試験中に測定される、方法。
  5. 請求項1に記載の方法であって、前記変数は、高周波(RF)発生器とウエハとの間の点に接続されたプローブを用いて前記第1の試験中に測定され、前記RF発生器は、RFケーブルを介してインピーダンス整合回路に接続され、前記インピーダンス整合回路は、RF伝送線路を介して前記第1のプラズマチャンバに接続され、前記ウエハは、前記チャックの表面上に載置される、方法。
  6. 請求項1に記載の方法であって、前記レシピは、前記第1のプラズマチャンバ内の圧力、前記第1のプラズマチャンバ内の温度、前記第1のプラズマチャンバに提供されるRF信号の電力、前記RF信号の周波数、および、前記第1のプラズマチャンバの上側電極と前記第1のプラズマチャンバの下側電極との間のギャップを含む、方法。
  7. 請求項1に記載の方法であって、前記レシピは、前記第2のプラズマチャンバ内の圧力、前記第2のプラズマチャンバ内の温度、前記第2のプラズマチャンバに提供されるRF信号の電力、前記RF信号の周波数、および、前記第2のプラズマチャンバの上側電極と前記第2のプラズマチャンバの下側電極との間のギャップを含む、方法。
  8. 請求項1に記載の方法であって、前記第1の関係は直線関係である、方法。
  9. 請求項1に記載の方法であって、前記第2の関係は直線関係である、方法。
  10. 請求項1に記載の方法であって、前記電力調整は、前記第1の試験中に提供された前記電力と、前記第2の試験中に提供された前記電力との差である、方法。
  11. 請求項1に記載の方法であって、前記後続処理動作は、前記第2のプラズマチャンバ内に配置されたウエハのエッチング、蒸着、または、洗浄を含む、方法。
  12. 請求項1に記載の方法であって、前記処理条件は、前記変数の関数の値を含み、前記値は、或る量の前記電力が前記第1の試験中に前記プラズマチャンバに提供された時に、前記第1の試験中に達成された値である、方法。
  13. 請求項1に記載の方法であって、前記第1のプラズマチャンバは、前記第2のプラズマチャンバより高い歩留まりを有する、方法。
  14. 請求項1に記載の方法であって、前記第1および第2の試験の各々は、無プラズ試験を含む、方法。
  15. 請求項14に記載の方法であって、前記無プラズマ試験中、前記第1のプラズマチャンバ内でのプラズマの点火を防ぐように、前記第1のプラズマチャンバ内の圧力の大きさが制御され、前記第2のプラズマチャンバ内でのプラズマの点火を防ぐように、前記第2のプラズマチャンバ内の圧力の大きさが制御される、方法。
  16. チャンバ内マッチングを実行するための方法であって、
    プラズマチャンバに関する変数を測定するために第1の試験を実行し、前記プラズマチャンバは、前記第1の試験の実行中に第1の条件にあり、
    前記プラズマチャンバに関する前記変数を測定するために第2の試験を実行し、前記プラズマチャンバは、前記第2の試験の実行中に第2の条件にあり、
    前記第1の試験を用いて測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、
    前記第2の試験を用いて測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、
    前記第1および第2の関係に基づいて、後続処理動作中に前記プラズマチャンバに適用する電力調整を特定することを備え、前記電力調整は、前記プラズマチャンバに、前記プラズマチャンバが前記第1の条件にある時に決定された処理条件で前記処理動作を実行させる、方法。
  17. 請求項16に記載の方法であって、前記第2の条件は、前記第1の条件の発生後に発生する、方法。
  18. 請求項16に記載の方法であって、前記第2の条件は、前記プラズマチャンバ内に配置されたウエハに対して処理を実行した後に発生し、前記第1の条件は、前記処理を実行する前に発生する、方法。
  19. チャンバ間マッチングを実行するためのプラズマシステムであって、
    変数を測定するための第1の試験の実行に用いられる第1のプラズマチャンバと、
    RF信号を前記第1のプラズマチャンバに伝達するために前記第1のプラズマチャンバに接続されている高周波(RF)伝送線路と、
    前記RF伝送線路に接続されているインピーダンス整合回路と、
    前記RF信号を供給するために前記インピーダンス整合回路に接続されているRF発生器と、
    前記変数を測定するための第2の試験の実行に用いられる第2のプラズマチャンバと、前記第1および第2の試験は、1つのレシピに基づいて実行され、前記第2の試験は、前記第1のプラズマチャンバを前記RF伝送線路から切り離して前記第2のプラズマチャンバを前記RF伝送線路に接続した後に実行され、
    プロセッサを備え、前記RF発生器に接続されているホストシステムと、
    を備え、
    前記プロセッサは、
    前記第1の試験で測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、
    前記第2の試験で測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、
    前記第1および第2の関係に基づいて、後続処理動作中に前記第2のプラズマチャンバに適用する電力調整を特定するよう構成されており、
    前記電力調整は、前記第2のプラズマチャンバに、前記第1のプラズマチャンバを用いて決定された処理条件で前記処理動作を実行させる、プラズマシステム。
  20. チャンバ内マッチングを実行するためのプラズマシステムであって、
    変数を測定するための第1の試験の実行に用いられるプラズマチャンバと、前記プラズマチャンバは、前記第1の試験の実行中に第1の条件にあり、前記プラズマチャンバは、前記変数を測定するための第2の試験の実行に用いられ、前記プラズマチャンバは、前記第2の試験の実行中に第2の条件にあり、
    RF信号を前記プラズマチャンバに伝達するために前記プラズマチャンバに接続されている高周波(RF)伝送線路と、
    前記RF伝送線路に接続されているインピーダンス整合回路と、
    前記RF信号を供給するために前記インピーダンス整合回路に接続されているRF発生器と、
    プロセッサを備え、前記RF発生器に接続されているホストシステムと、
    を備え、
    前記プロセッサは、
    前記第1の試験を用いて測定された前記変数と、前記第1の試験中に提供された電力との間の第1の関係を決定し、
    前記第2の試験を用いて測定された前記変数と、前記第2の試験中に提供された電力との間の第2の関係を決定し、
    前記第1および第2の関係に基づいて、後続処理動作中に前記プラズマチャンバに適用する電力調整を特定するよう構成されており、
    前記電力調整は、前記プラズマチャンバに、前記プラズマチャンバが前記第1の条件にある時に決定された処理条件で前記処理動作を実行させる、プラズマシステム。
JP2014044455A 2013-03-14 2014-03-07 電力制御モードのためのチャンバマッチング Active JP6404580B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361784086P 2013-03-14 2013-03-14
US61/784,086 2013-03-14
US13/901,509 US9119283B2 (en) 2013-03-14 2013-05-23 Chamber matching for power control mode
US13/901,509 2013-05-23

Publications (3)

Publication Number Publication Date
JP2014197676A true JP2014197676A (ja) 2014-10-16
JP2014197676A5 JP2014197676A5 (ja) 2017-04-06
JP6404580B2 JP6404580B2 (ja) 2018-10-10

Family

ID=51524564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014044455A Active JP6404580B2 (ja) 2013-03-14 2014-03-07 電力制御モードのためのチャンバマッチング

Country Status (4)

Country Link
US (1) US9119283B2 (ja)
JP (1) JP6404580B2 (ja)
KR (1) KR102223834B1 (ja)
TW (1) TWI609606B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110892500A (zh) * 2017-07-20 2020-03-17 朗姆研究公司 在存在千赫兹射频发生器下提高兆赫兹射频发生器的输送功率的效率的系统和方法
KR20210150573A (ko) * 2019-05-30 2021-12-10 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 플라즈마 시스템에 적용하는 방법 및 관련 플라즈마 시스템

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9412670B2 (en) * 2013-05-23 2016-08-09 Lam Research Corporation System, method and apparatus for RF power compensation in plasma etch chamber
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US10622217B2 (en) 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same
US10607815B2 (en) * 2018-06-29 2020-03-31 Applied Materials, Inc. Methods and apparatuses for plasma chamber matching and fault identification
CN113113282B (zh) * 2021-04-01 2023-11-14 北京北方华创微电子装备有限公司 上电极电源功率调节方法、半导体工艺设备

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270581A (ja) * 2001-03-07 2002-09-20 Hitachi Ltd プラズマ処理装置及び処理方法
JP2005011858A (ja) * 2003-06-17 2005-01-13 Renesas Technology Corp プラズマを用いた半導体製造におけるμ波パワー設定方法並びに当該設定方法を適用した半導体装置の製造装置及び上記設定方法を用いた半導体装置の製造方法
US20060042753A1 (en) * 2004-08-26 2006-03-02 Scientific Systems Research Limited Method for transferring process control models between plasma processing chambers
JP2008182012A (ja) * 2007-01-24 2008-08-07 Tokyo Electron Ltd プラズマ処理装置用のプロセス性能検査方法及び装置
JP2010016124A (ja) * 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2010062579A (ja) * 2002-09-26 2010-03-18 Lam Res Corp プラズマ処理システムをツールマッチングしかつトラブルシュートする方法
JP2012015307A (ja) * 2010-06-30 2012-01-19 Toshiba Corp 半導体装置の製造方法、電源回路、及びプラズマ処理装置
JP2012216629A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理装置におけるマイクロ波の実効パワーのずれ量検出方法及びそのプラズマ処理装置
JP2013041954A (ja) * 2011-08-15 2013-02-28 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5474548A (en) 1993-07-14 1995-12-12 Knopp; Carl F. Method of establishing a unique machine independent reference frame for the eye
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) * 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) * 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US8103492B2 (en) * 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) * 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270581A (ja) * 2001-03-07 2002-09-20 Hitachi Ltd プラズマ処理装置及び処理方法
JP2010062579A (ja) * 2002-09-26 2010-03-18 Lam Res Corp プラズマ処理システムをツールマッチングしかつトラブルシュートする方法
JP2005011858A (ja) * 2003-06-17 2005-01-13 Renesas Technology Corp プラズマを用いた半導体製造におけるμ波パワー設定方法並びに当該設定方法を適用した半導体装置の製造装置及び上記設定方法を用いた半導体装置の製造方法
US20060042753A1 (en) * 2004-08-26 2006-03-02 Scientific Systems Research Limited Method for transferring process control models between plasma processing chambers
JP2008182012A (ja) * 2007-01-24 2008-08-07 Tokyo Electron Ltd プラズマ処理装置用のプロセス性能検査方法及び装置
JP2010016124A (ja) * 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2012015307A (ja) * 2010-06-30 2012-01-19 Toshiba Corp 半導体装置の製造方法、電源回路、及びプラズマ処理装置
JP2012216629A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理装置におけるマイクロ波の実効パワーのずれ量検出方法及びそのプラズマ処理装置
JP2013041954A (ja) * 2011-08-15 2013-02-28 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110892500A (zh) * 2017-07-20 2020-03-17 朗姆研究公司 在存在千赫兹射频发生器下提高兆赫兹射频发生器的输送功率的效率的系统和方法
JP2020527897A (ja) * 2017-07-20 2020-09-10 ラム リサーチ コーポレーションLam Research Corporation キロヘルツ無線周波数発生器の存在下でメガヘルツ無線周波数発生器の供給電力の効率性を高めるためのシステムおよび方法
JP7356409B2 (ja) 2017-07-20 2023-10-04 ラム リサーチ コーポレーション キロヘルツ無線周波数発生器の存在下でメガヘルツ無線周波数発生器の供給電力の効率性を高めるためのシステムおよび方法
CN110892500B (zh) * 2017-07-20 2024-04-05 朗姆研究公司 在存在千赫兹射频发生器下提高兆赫兹射频发生器的输送功率的效率的系统和方法
KR20210150573A (ko) * 2019-05-30 2021-12-10 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 플라즈마 시스템에 적용하는 방법 및 관련 플라즈마 시스템
KR102454627B1 (ko) 2019-05-30 2022-10-14 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 플라즈마 시스템에 적용하는 방법 및 관련 플라즈마 시스템

Also Published As

Publication number Publication date
KR102223834B1 (ko) 2021-03-05
KR20140113526A (ko) 2014-09-24
US20140265851A1 (en) 2014-09-18
TW201503764A (zh) 2015-01-16
TWI609606B (zh) 2017-12-21
JP6404580B2 (ja) 2018-10-10
US9119283B2 (en) 2015-08-25

Similar Documents

Publication Publication Date Title
JP6404580B2 (ja) 電力制御モードのためのチャンバマッチング
JP6512962B2 (ja) プラズマ処理装置
JP6374647B2 (ja) プラズマ処理装置
TWI614807B (zh) 電漿處理裝置
JP4455887B2 (ja) 電気的特性を利用して、プラズマ反応炉内の膜の状態を判断するシステムおよび方法
US10325759B2 (en) Multiple control modes
TWI496514B (zh) A plasma processing apparatus and a plasma processing method, and a computer-readable memory medium
JP6224958B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR102208578B1 (ko) 인-챔버 및 챔버-투-챔버 매칭을 위한 다중 매개 변수를 갖는 에칭 레이트 모델링 및 그 사용
JP2012222295A (ja) プラズマ処理装置のクリーニング方法及びプラズマ処理方法
KR20200083330A (ko) 플라즈마 처리 장치 및 제어 방법
US10256078B2 (en) Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US9107284B2 (en) Chamber matching using voltage control mode
US11929235B2 (en) Systems and methods for tuning a MHz RF generator within a cycle of operation of a kHZ RF generator
CN111247619A (zh) 用于控制等离子体室中的等离子体辉光放电的方法和系统
JP2022536516A (ja) Rf電力損失を補償するためのシステムおよび方法
US20230145567A1 (en) Sensorless rf impedance matching network
JP6510922B2 (ja) プラズマ処理装置及びプラズマ処理方法
WO2023157682A1 (ja) エッジリングの消耗量を求める方法、プラズマ処理装置、及び基板処理システム
US20230245874A1 (en) Systems and methods for controlling a plasma sheath characteristic
KR20240073132A (ko) 센서리스 rf 임피던스 매칭 네트워크

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170301

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180810

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180821

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180913

R150 Certificate of patent or registration of utility model

Ref document number: 6404580

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250