KR20140113526A - 전력 제어 모드를 위한 챔버 정합 - Google Patents

전력 제어 모드를 위한 챔버 정합 Download PDF

Info

Publication number
KR20140113526A
KR20140113526A KR20140030237A KR20140030237A KR20140113526A KR 20140113526 A KR20140113526 A KR 20140113526A KR 20140030237 A KR20140030237 A KR 20140030237A KR 20140030237 A KR20140030237 A KR 20140030237A KR 20140113526 A KR20140113526 A KR 20140113526A
Authority
KR
South Korea
Prior art keywords
plasma chamber
test
chamber
plasma
power
Prior art date
Application number
KR20140030237A
Other languages
English (en)
Other versions
KR102223834B1 (ko
Inventor
루크 알바레데
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140113526A publication Critical patent/KR20140113526A/ko
Application granted granted Critical
Publication of KR102223834B1 publication Critical patent/KR102223834B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

챔버 정합을 수행하기 위한 시스템과 방법들이 설명된다. 챔버 정합을 수행하기 위한 방법 중 하나는 변수를 측정하기 위해 제 1 플라즈마 챔버 내에서 제 1 테스트를 수행하는 단계와 변수를 측정하기 위해 제 2 플라즈마 챔버 내에서 제 2 테스트를 실행하는 단계를 포함한다. 제 1 및 제 2 테스트는 하나의 레시피에 기초하여 실행된다. 방법은 제 1 테스트로 측정된 변수와 제 1 테스트 동안 제공된 전력 사이의 제 1 관계를 결정하는 단계, 제 2 테스트로 측정된 변수와 제 2 테스트 동안 제공된 전력 사이의 제 2 관계를 결정하는 단계 및 제 1 관계와 제 2 관계에 기초하여 이어지는 처리 동작 동안 제 2 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하는 단계를 더 포함한다. 전력 조정은 제 2 플라즈마 챔버로 하여금 제 1 플라즈마 챔버를 사용하여 결정된 처리 조건에서 처리 동작을 수행하게 한다.

Description

전력 제어 모드를 위한 챔버 정합{CHAMBER MATCHING FOR POWER CONTROL MODE}
본 실시예들은 전력 제어 모드를 사용하는 챔버 정합에 관한 것이다.
플라즈마 챔버는 다양한 목적으로 사용된다. 예를 들어, 플라즈마는 플라즈마 챔버 내에서 기판을 에칭하고, 기판을 세정하고, 기판 상의 층들을 증착하고 또는 기판 상에 다른 처리들을 수행하도록 생성된다.
다양한 챔버가 기판을 처리하는데 사용된다. 또한, 동일한 챔버는 기판을 처리하는데 사용된다. 상이한 챔버가 사용되는 경우, 기판은 실질적으로 균일한 방식으로 처리되지 않을 수도 있다. 예를 들어, 하나의 플라즈마 챔버를 사용하여 에칭된 기판은 다른 플라즈마 챔버를 사용하여 에칭된 다른 기판과 상이한 레이트로 에칭될 수도 있다. 또 다른 예로서, 다른 플라즈마 챔버에서 기판 상에 증착된 재료보다 실질적으로 더 높은 양의 재료가 플라즈마 챔버에서 처리된 기판 상에 증착될 수도 있다.
본 개시에서 설명되는 실시예들은 이러한 맥락에서 나타났다.
본 개시의 실시예는 전력 제어 모드를 사용하는 챔버 정합을 위한 장치 방법 및 컴퓨터 프로그램을 제공한다. 본 실시예들이 다수의 방법들, 예컨대 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들이 이하에서 설명된다.
일부의 실시예에서, 챔버-대-챔버 정합을 수행하는 방법이 설명된다. 본 방법은 변수를 측정하도록 제 1 플라즈마 챔버 내에서 제 1 테스트를 실행하는 단계 및 변수를 측정하도록 제 2 플라즈마 챔버 내에서 제2 테스트를 실행하는 단계를 포함한다. 제 1 테스트 및 제 2 테스트는 하나의 레시피에 기초하여 실행된다. 본 방법은 제 1 테스트로 측정된 변수와 제 1 테스트 동안 제공된 전력 사이의 제 1 관계를 결정하는 단계, 제 2 테스트로 측정된 변수와 제 2 테스트 동안 제공된 전력 사이의 제 2 관계를 결정하는 단계, 및 제 1 관계와 제 2 관계에 기초하여 이어지는 처리 동작 동안 제 2 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하는 단계를 포함한다. 전력 조정은 제 2 플라즈마 챔버로 하여금 제 1 플라즈마 챔버를 사용하여 결정된 처리 조건에서 처리 동작을 수행하도록 한다.
다양한 실시예에서, 인트라-챔버 정합을 수행하기 위한 방법이 설명된다. 본 방법은 플라즈마 챔버와 연관된 변수를 측정하기 위한 제 1 테스트를 수행하는 단계를 포함한다. 플라즈마 챔버는 제 1 테스트의 실행 동안 제 1 조건에 있다. 본 방법은 플라즈마 챔버와 연관된 변수를 측정하기 위한 제 2 테스트를 실행하는 단계를 더 포함한다. 플라즈마 챔버는 제 2 테스트의 실행 동안 제 2 조건에 있다. 본 발명은 제 1 테스트를 사용하여 측정된 변수와 제 1 테스트 동안 제공된 전력 사이의 제 1 관계를 결정하는 단계, 제 2 테스트를 사용하여 측정된 변수와 제 2 테스트 동안 제공된 전력 사이의 제 2 관계를 결정하는 단계, 및 제 1 관계와 제 2 관계에 기초하여 이어지는 처리 동작 동안 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하는 단계를 포함한다. 전력 조정은 플라즈마 챔버로 하여금 처리 조건에서 처리 동작을 수행하도록 한다. 처리 조건은 플라즈마 챔버가 제 1 조건에 있을 때 결정된다.
몇몇의 실시예에서, 챔버-대-챔버 정합을 위한 플라즈마 시스템이 설명된다. 플라즈마 시스템은 변수를 측정하기 위한 제 1 테스트를 실행하는데 사용되는 제 1 플라즈마 챔버, 제 1 플라즈마 챔버로 무선 주파수 (RF; radio frequency) 신호를 통신하기 위해 제 1 플라즈마 챔버와 커플링된 RF 전송 라인, 및 RF 전송 라인과 커플링된 임피던스 정합 회로를 포함한다. 플라즈마 시스템은 RF 신호를 공급하기위해 임피던스 정합 회로와 커플링된 RF 생성기 및 변수를 측정하기 위한 제 2 테스트를 실행하는데 사용되는 제 2 플라즈마 챔버를 포함한다. 제 1 테스트 및 제 2 테스트는 하나의 레시피에 기초하여 실행된다. 제 2 테스트는 RF 전송 라인으로부터 제 1 플라즈마 챔버가 디커플링 (decoupling) 되고 RF 전송 라인에 제 2 플라즈마 챔버가 커플링된 후 실행된다. 플라즈마 시스템은 프로세서를 더 포함하는 호스트 시스템을 포함한다. 호스트 시스템은 RF 생성기와 커플링된다. 프로세서는 제 1 테스트로 측정된 변수와 제 1 테스트 동안 제공된 전력 사이의 제 1 관계를 결정하고, 제 2 테스트로 측정된 변수와 제 2 테스트 동안 공급된 전력 사이의 제 2 관계를 결정하고, 제 1 관계와 제 2 관계에 기초하여 이어지는 처리 동작 동안 제 2 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하도록 구성된다. 전력 조정은 제 2 플라즈마 챔버로 하여금 제1 플라즈마 챔버를 사용하여 결정된 처리 조건에서 처리 동작을 수행하도록 한다.
다수의 실시예에서, 인트라-챔버 정합을 수행하기 위한 플라즈마 시스템이 설명된다. 플라즈마 시스템은 변수를 측정하기 위한 제 1 테스트를 실행하는데 사용되는 플라즈마 챔버를 포함한다. 플라즈마 챔버는 제 1 테스트의 실행 동안 제 1 조건에 있다. 플라즈마 챔버는 변수를 측정하기 위한 제 2 테스트를 실행하는데 사용된다. 또한, 플라즈마 챔버는 제 2 테스트의 실행 동안 제 2 조건에 있다. 플라즈마 시스템은 RF 신호를 플라즈마 챔버로 통신하기 위해 플라즈마 챔버에 커플링된 RF 전송 라인을 더 포함한다. 플라즈마 시스템은 RF 전송 라인과 커플링된 임피던스 정합 회로, RF 신호를 공급하기 위해 임피던스 정합 회로와 커플링된 RF 생성기 및 프로세서를 포함하는 호스트 시스템을 포함하고, 호스트 시스템은 RF 생성기와 커플링된다. 프로세서는 제 1 테스트를 사용하여 측정된 변수와 제 1 테스트 동안 공급된 전력 사이의 제 1 관계를 결정하고, 제 2 테스트를 사용하여 측정된 변수와 제 2 테스트 동안 공급된 전력 사이의 제 2 관계를 결정하고, 제 1 관계와 제 2 관계에 기초하여 이어지는 처리 동작 동안 플라즈마 챔버에 적용되기 위한 전력 조정을 식별하도록 구성된다. 전력 조정은 플라즈마 챔버로 하여금 처리 조건에서 처리 동작을 수행하도록 한다. 처리 조건은 플라즈마 챔버가 제 1 조건에 있을 때 결정된다.
일부의 실시예에서, 변수, 예컨대 전압, 전류, 등의 함수와 전력 사이의 제 1 관계가 생성된다. 변수는 플라즈마 챔버 내부의 갭으로부터 측정되고, 전력은 전극에 전력 전달되거나 플라즈마 챔버의 전극에 전력 공급된다. 또한, 변수의 함수와 전력 사이의 제 2 관계가 생성된다. 제 2 관계는 제 1 관계를 생성하는데 사용된 플라즈마 챔버와 동일한 플라즈마 챔버 또는 제 1 관계를 생성하는데 사용되는 플라즈마 챔버와는 다른 플라즈마 챔버를 사용하여 생성될 수도 있다. 제 2 관계는 제 1 관계와 제 2 관계 사이의 차이를 결정하도록 제 1 관계와 비교된다. 차이는 제 2 관계로부터 제 1 관계를 달성하거나 제 1 관계로부터 제 2 관계를 달성하도록 감소된다. 예를 들어, 제 1 관계를 생성하는데 사용된 플라즈마 챔버로 제공된 전력의 동일한 양이 제 2 관계를 생성하는데 사용되는 다른 플라즈마 챔버로 제공된다. 또 다른 예시로서, 제 1 관계를 생성하는데 사용되는 플라즈마 챔버로 제공된 전력은 제 2 관계를 달성하도록 변경된다. 본 예시에서, 제 1 관계와 제 2 관계 모두 동일한 플라즈마 챔버를 사용하여 생성된다.
전술된 실시예의 일부 이점들은 전력 제어 모드를 사용하는 챔버-대-챔버 정합 또는 인트라챔버 정합을 수행하는 것을 포함한다. 예를 들어, 제 1 관계가 제 2 관계를 달성하도록 변경되는 경우, 제 1 관계를 생성하도록 사용된 플라즈마 챔버는 제 2 관계를 달성하도록 동작된다. 제 1 관계를 생성하는데 사용된 플라즈마 챔버가 제 2 관계를 달성하도록 동작되는 경우, 실질적 양의 균일성이 제 1 관계와 제 2 관계를 생성하는데 사용되는 플라즈마 챔버에서 웨이퍼를 처리하는 데 있어 달성된다.
또한, 제 1 관계를 생성하는데 사용된 플라즈마 챔버의 조건은 시간에 따라 변화할 수도 있다. 조건의 변화에 대한 결과로, 제 1 관계를 생성하는데 사용된 플라즈마 챔버는 웨이퍼 상에서 볼 수 있는 상이한 결과를 생산할 수도 있다. 예를 들어, 웨이퍼가 제 1 관계를 생성하는데 사용된 플라즈마 챔버에서 세정되는 경우, 세정 처리는 플라즈마 챔버의 조건을 변경한다. 세정 후, 제 1 관계를 생성하도록 사용된 플라즈마 챔버가 다른 웨이퍼를 세정하는데 사용되는 경우, 다른 웨이퍼는 다른 웨이퍼 전에 세정된 웨이퍼와 동일한 정도, 예컨대, 레벨, 애스펙트 비 등으로 세정되지 않을 수도 있다. 웨이퍼를 처리하는데 있어서 시간에 따른 변동성은 제 1 관계를 생성하는데 사용된 플라즈마 챔버와 커플링된 RF 전송 라인이 전송 라인을 통해 통신되는 RF 전력의 시간에 따른 상이한 손실을 경험하게 할 수 있다. 제 1 관계를 생성하는데 사용된 플라즈마 챔버에서 제 2 관계를 달성하기 위한 제 1 관계의 변경은 웨이퍼를 처리하는데 있어 비-균일성을 감소시키는 이점을 가진다. 변경이 수행되는 경우, 손실의 차이가 보상된다.
다른 양태들은 첨부된 도면과 연관되어 이하의 상세한 설명으로부터 명확해질 것이다.
본 실시예들은 첨부된 도면과 연관되어 이하의 상세한 설명을 참조함으로써 가장 잘 이해될 것이다.
도 1은 본 개시에서 설명된 실시예에 따른, 전력 제어 모드를 사용하는 챔버-대-챔버 정합을 도시하기 위한 그래프이다.
도 2는 본 개시에서 설명된 실시예에 따른, 전력 제어 모드를 사용하는 인트라-챔버 정합을 도시하기 위한 그래프이다.
도 3은 본 개시에서 설명된 실시예에 따른, 정전척 (ESC; electrostatic chuck) 내의 프로브를 사용함으로써 플라즈마 챔버로 전달된 전력과 변수의 함수 사이의 하나 이상의 관계를 생성하기 위한 플라즈마 시스템의 블록도이다.
도 4a는 본 개시에서 설명된 실시예에 따른, 무선 주파수 터널 내의 프로브를 사용함으로써 플라즈마 챔버로 전달된 전력과 변수의 함수 사이의 하나 이상의 관계를 생성하기 위한 플라즈마 시스템의 블록도이다.
도 4b는 본 개시에서 설명된 실시예에 따른, ESC 모델에서 변수값을 결정하기 위한 컴퓨터-생성된 모델을 사용하기 위한 호스트 시스템의 블록도이다.
도 5는 본 개시에서 설명된 실시예에 따른, 도 3의 플라즈마 시스템의 플라즈마 챔버와 다른 플라즈마 챔버 사이의 인터-챔버 정합을 도시하기 위한 플라즈마 시스템의 블록도이다.
도 6은 본 개시에서 설명된 실시예에 따른, 무 (no) -플라즈마 테스트 동안 전압과 압력 사이의 관계를 도시하는데 사용된 그래프이다.
도 7은 본 개시에서 설명된 실시예에 따른 챔버-대-챔버 정합을 수행하기 위한 레시피를 나타낸다.
도 8은 본 개시에서 설명된 실시예에 따른, 인트라-챔버 정합을 수행하기 위한 레시피를 나타낸다.
도 9a는 본 개시에서 설명된 실시예에 따른, 3 개의 플라즈마 챔버 사이의 관계들에서의 차이를 도시하는데 사용된 그래프이다.
도 9b는 본 개시에서 설명된 몇몇의 실시예들을 적용한 후 3 개의 플라즈마 챔버들 사이의 관계들에서 유사점을 도시하는데 사용된 그래프이다.
이하의 실시예들은 전력 제어 모드를 사용하는 챔버 정합을 위한 시스템 및 방법을 설명한다. 본 실시예들이 일부 또는 전부의 세부 사항들 없이 실시될 수도 있다는 점은 명백할 것이다. 다른 예시들에서, 본 실시예를 불필요하게 모호하도록 하지 않게 잘 알려진 처리 동작들은 세부적으로 설명되지 않았다.
도 1은 전력 제어 모드를 사용하는 챔버-대-챔버 정합을 도시하기 위한 그래프 (102) 이다. 전력 제어 모드를 사용하는 챔버-대-챔버 정합에서, 플라즈마 챔버 1을 사용하여 측정된 변수의 함수와 플라즈마 챔버 1과 연관된 전력 사이의 관계가 결정된다. 또한, 플라즈마 챔버 2를 사용하여 측정된 변수의 함수와 플라즈마 챔버 2와 연관된 전력 사이의 관계가 결정된다.
변수의 예시들은 전류, 전압, 반사 (reflection) 계수 등을 포함한다. 변수의 함수의 예시는 변수의 제곱, 변수의 제곱근, 변수의 함수의 수학적 거듭제곱 (mathmetical power) 등을 포함한다.
일부의 실시예에서, 플라즈마 챔버 2는 플라즈마 챔버 1의 구조와 동일한 구조를 갖는다. 예를 들어, 플라즈마 챔버 2는 플라즈마 챔버 1에서 대응되는 엘리먼트의 치수와 동일한 치수를 갖는 엘리먼트를 포함한다. 또 다른 예시로서, 플라즈마 챔버 2는 플라즈마 챔버 1에서 대응되는 엘리먼트의 타입과 동일한 타입인 엘리먼트를 포함한다. 도시를 위해, 트랜스포머 커플링된 플라즈마 (TCP; transformer coupled plasma) 챔버는 용량 커플링된 플라즈마 (ICP; inductively coupled plasma) 챔버의 하나 이상의 엘리먼트와는 상이한 타입의 하나 이상의 엘리먼트를 가지며, TCP와 ICP 챔버들 모두는 전자 싸이클로트론 공명 (ECR; electron cyclotron resonance) 플라즈마 챔버의 하나 이상의 엘리먼트와는 상이한 타입의 하나 이상의 엘리먼트를 갖는다.
플라즈마 챔버의 엘리먼트들의 예시는 상부 전극, 하부 전극, 상부 플라즈마 배재 구역 (PEZ; plasma exclusion zone) 링, 하부 PEZ 링, 한정 링 어셈블리, 에지 링, 절연층, 가스 분배 아퍼쳐 (aperture), 플라즈마 챔버의 벽, 상부 전극을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 확장부, 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 확장부 등 을 포함한다. 다양한 실시예에서, 하부 전극과 하부 전극 확장부는 금속, 예컨대, 양극산화된 알루미늄, 알루미늄 합금 등으로 이루어진다. 또한, 일부의 실시예에서, 상부 전극과 상부 전극 확장부는 금속, 예컨대, 알루미늄, 알루미늄 합금 등으로 이루어진다. 몇몇의 실시예에서, 상부 전극은 하부 전극의 반대편에 그리고 대향하여 위치되고, 상부 전극 확장부는 상부 전극의 반대편에 그리고 대향하여 위치된다.
엘리먼트의 치수의 예시는 엘리먼트의 사이즈, 엘리먼트의 길이, 엘리먼트의 깊이, 엘리먼트의 폭, 엘리먼트의 표면적, 엘리먼트가 차지하는 볼륨 등을 포함한다.
상이한 타입의 엘리먼트의 예시는 플레이트 전극, 전기적 코일 전극 등을 포함한다.
다양한 실시예에서, 플라즈마 챔버 2는 플라즈마 챔버 2와 구조적으로 일치하고, 플라즈마 챔버 1의 식별 코드와는 상이한 식별 코드를 갖는다. 예를 들어, 엔티티 (entity) 는 플라즈마 챔버 1을 식별 코드 aaaa로 식별하고, 엔트리는 플라즈마 챔버 2를 식별 코드 bbbb를 사용하여 식별한다.
다수의 실시예에서, 플라즈마 챔버 2는 플라즈마 챔버 1과 구조적으로 동일하고, 플라즈마 챔버 1의 기능과 동일한 기능을 수행하는데 사용된다. 플라즈마 챔버에 의해 수행된 기능의 예시는 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 르라즈마-향상된 CVD (PECVD), 메탈 CVD, 고-밀도 플라즈마 CVD (HDP-CVD) 기능, 포토리지스트 박리 기능, 포토리지스트 표면 준비, 자외선 열 처리 (UVTP), 등을 포함한다.
다양한 실시예에서, 플라즈마 챔버 2는 구조적으로 그리고 기능적으로 플라즈마 챔버 1과 일치하며 플라즈마 챔버 1의 식별코드와는 상이한 식별 코드를 갖는다.
플라즈마 챔버 1로 전달된 전력은 플라즈마 챔버 2를 사용하여 생성된 관계를 달성하도록 조정된다. 일부의 실시예에서, 플라즈마 챔버 2와 연관된 관계를 달성하도록 플라즈마 챔버 1로 전달된 전력을 조정하는 대신, 플라즈마 2로 전달된 전력을 플라즈마 챔버 1을 사용하여 생성된 관계를 달성하도록 조정된다.
몇몇의 실시예에서, 플라즈마 챔버 1이 플라즈마 챔버 2의 수율보다 더 높은 수율을 갖는 금 챔버인 경우, 플라즈마 챔버 2로 전달된 전력은 플라즈마 챔버 1을 사용하여 생성된 관계를 달성하도록 조정된다. 또한, 플라즈마 챔버 2가 플라즈마 챔버 1의 수율보다 더 높은 수율을 갖는 금 챔버인 경우, 플라즈마 챔버 1로 전달된 전력은 플라즈마 챔버 2를 사용하여 생성된 관계를 달성하도록 조정된다.
다양한 실시예에서, 더 높은 수율의 플라즈마 챔버가 더 낮은 수율의 플라즈마 챔버를 사용하여 달성된 애스펙트비 보다 더 높은 애스펙트비를 달성하도록 웨이퍼를 에칭하는데 사용되는 경우, 더 높은 수율의 플라즈마 챔버의 사용이 더 낮은 수율의 플라즈마 챔버를 사용하여 세정된 웨이퍼 보다 더 깨끗한 웨이퍼를 발생시키는 경우, 더 높은 수율의 플라즈마 챔버가 더 낮은 수율의 플라즈마 챔버를 사용하여 달성된 레이트보다 더 빠른 레이트로 에칭하는 경우, 더 높은 수율의 플라즈마 챔버가 더 낮은 수율의 플라즈마 챔버를 사용하여 달성된 레이트보다 더 빠른 레이트로 웨이퍼를 세정하는 경우, 더 높은 수율의 플라즈마 챔버가 더 낮은 수율의 플라즈마 챔버의 웨이퍼 처리 속도보다 더 빠른 웨이퍼 처리 속도를 갖는 경우 또는 이들의 조합인 경우, 플라즈마 챔버는 다른 플라즈마 챔버보다 더 높은 수율을 갖는다.
그래프 (102) 에서, 변수의 함수는 y-축을 따라 나타내지며, 전력은 x-축을 따라 나타내진다. 일부의 실시예에서, x-축을 따라 나타내지는 전력은 플라즈마 챔버로 전력 전달된, 전달된 전력이다. 예를 들어, 전달된 전력은 공급된 전력과 반사된 전력 사이의 차이다. 공급된 전력은 무선 주파수 (RF) 생성기에 의해 플라즈마 챔버로 공급되고, 반사된 전력은 플라즈마 챔버로부터 RF 생성기를 향해 전력 반사된다. 다양한 실시예에서, x-축을 따라 나타내어진 전력은 전달된 전력 대신 공급된 전력이다.
그래프 (102) 의 실선 (101) 은 플라즈마 챔버 1을 사용하여 나타내어진다. 예를 들어, 플라즈마 챔버 1로 전송된 전력은 x-축을 따라 나타내어진다. 또한, 전류가 플라즈마 챔버 1 내의 웨이퍼와 RF 생성기 사이에 위치된 엘리먼트 상의 일 지점에서 측정되며, 전류의 제곱이 y-축을 따라 나타내어진다. 또 다른 예시로서, 전류 대신, 전압이 그 지점에서 측정되고 전압의 제곱이 y-축 상에 나타내어진다. 전압의 제곱은 실선 (101) 을 생성하도록 플라즈마 챔버 1로 전달된 전력에 대하여 나타내어진다.
몇몇의 실시예에서, 무 (no) -플라즈마 테스트가 실선 (101) 에 도시된 관계를 획득하도록 플라즈마 챔버 1을 사용하여 수행된다. 예를 들어, 압력량은 플라즈마 챔버 1 내에서 플라즈마 스트라이킹을 회피하도록 플라즈마 챔버 1 내에서 임계치 이하 또는 임계치 이상으로 유지된다. 또 다른 예시로서, 무-플라즈마 테스트 동안, RF 생성기는 임피던스 정합 회로와 RF 전송 라인을 통해 플라즈마 챔버의 하부 전극으로 제공되는 RF 신호를 생성한다. 본 예시에서, 최소량의 플라즈마가 플라즈마 챔버 내에서 스트라이킹된다.
RF 생성기와 웨이퍼 사이에 위치되는 전기적 엘리먼트는 플라즈마 챔버와 RF 생성기를 커플링하는 엘리먼트를 포함한다. RF 생성기와 웨이퍼 사이의 엘리먼트들의 예시는 RF 케이블, 임피던스 정합 회로, RF 전송 라인 및 정전척 (ESC) 를 포함한다. RF 케이블은 RF 생성기를 RF 전송 라인을 통해 플라즈마 챔버의 ESC와 커플링하는 임피던스 정합 회로와 커플링한다.
그래프 (102) 의 점선 (103) 은 그래프 (102) 의 실선 (101) 이 플라즈마 챔버 1에 대하여 나타내어진 것과 유사한 방식으로 플라즈마 챔버 2를 사용하여 나타내어진다. 예를 들어, 플라즈마 챔버 2로 전달된 전력은 x-축을 따라 나타내어진다. 또한, 전류는 플라즈마 챔버 2 내의 웨이퍼와 RF 생성기 사이에 위치된 엘리먼트 상의 일 지점에서 측정되고 전류의 제곱은 y-축을 따라 나타내어진다. 또 다른 예시로서, 전류 대신, 전압이 그 지점에서 측정되고, 전압의 제곱이 y-축 상에 나타내어진다. 전압의 제곱은 점선 (103) 을 생성하도록 플라즈마 챔버 2로 전송된 전력에 대하여 나타내어진다.
몇몇의 실시예에서, 무-플라즈마 테스트가 실선 (103) 에 도시된 관계를 획득하도록 플라즈마 챔버 2를 사용하여 수행된다. 예를 들어, 압력량은 플라즈마 챔버 2 내에서 플라즈마 스트라이킹을 회피하도록 플라즈마 챔버 2 내의 임계치 이하 또는 임계치 이상으로 유지된다.
선은 변수 함수 1 (VF1; variable function), 예컨대 전류값의 제곱, 전압값의 제곱 등으로부터 실선 (103) 상의 일 지점 (111) 을 교차하도록 실선 (101) 상의 지점 (109) 을 넘어 연장된다. 선은 지점 (111) 로부터 x-축 상의 지점 P2로 수직 연장된다. 지점 P2는 P2의 전력값을 갖는다.
변수 함수 1을 달성하도록 플라즈마 챔버 1로 전력 P1을 제공하는 것, 예컨대 전달, 공급하는 것 등 대신, 전력 P2는 변수 함수 1을 달성하도록 플라스마 챔버 1로 제공된다. 예를 들어, 플라즈마 챔버 2의 더 높은 수율을 달성하기 위해, 변수 함수 1을 달성하도록 플라즈마 챔버 1로 전력 P1을 제공하는 대신, 전력 P2가 변수 함수 1을 달성하도록 플라즈마 챔버 1로 제공된다. 다른 예시로서, 변수 함수 1을 달성하기 위해 플라즈마 챔버 1로 전력 P1이 공급되는 시점에, 전력 P2는 플라즈마 챔버 2의 더 높은 수율을 달성하도록, 그리고 변수 함수 1을 달성하도록 플라즈마 챔버 1로 제공된다. 유사하게, 일부의 실시예에서, 변수 함수 1을 달성하도록 플라즈마 챔버 2로 전력 P2를 제공하는 대신, 전력 P1이 변수 함수 1을 달성하도록 플라즈마 챔버 2로 제공된다.
일부의 실시예에서, 제공된 전력은 제공된 전력 또는 공급된 전력이다.
다양한 실시예에서, 변수 함수 1에 대응하는 전력 P2를 결정하기 위해 그래프 (102) 내에서 선들을 수평 및/또는 수직으로 연장시키는 대신, 실선 (101) 의 기울기 1 및 점선 (130) 의 기울기 2가 계산된다. 변수 함수를 달성하기 위해 플라즈마 챔버 1로 공급될 전력 P1은 플라즈마 챔버 1로 전력 P2를 공급하도록 기울기 1과 기울기 2의 비로 곱해진다. 예를 들어, 플라즈마 챔버 2의, 실선 (103) 에 의해 도시된, 더 높은 수율의 관계가 달성되는 경우, 변수 함수 1을 달성하기 위해 플라즈마 챔버 1로 전력 P1을 제공하는 대신, 기울기 1과 기울기 2의 비로 전력값 P1을 곱함으로써 생성되는 전력값, 예컨대 전력값 P2가 변수 함수 1을 달성하도록 플라즈마 챔버 1로 제공된다.
일부의 실시예에서, 플라즈마 챔버 1과 연관된 관계가 달성되는 경우, 예컨대 플라즈마 챔버 1의 더 높은 수율의 달성 및 변수 함수 1의 달성, 전력값 P2는 플라즈마 챔버 2로 제공되는 전력값 P1을 생성하도록 기울기 2와 기울기 1의 비로 곱해진다.
일부의 실시예에서, 용어 "전력값 P1" 및 "전력 P1" 은 상호교환가능하게 사용된다. 다양한 실시예에서, 용어 "전력값 P2"와 "전력 P2"는 상호교환가능하게 사용된다.
기울기 1 및 기울기 2 각각은 변수가 전압인 경우 변수가 측정되는, 플라즈마 시스템에서의, 지점에서의 임피던스를 제공한다는 점이 주목되어야 한다. 또한, 기울기 1 및 기울기 2 각각은 변수가 전류인 경우 변수가 측정되는, 플라즈마 시스템에서의, 지점에서의 임피던스의 역을 제공한다는 점이 주목되어야 한다.
도 2는 전력 제어 모드를 사용하는 인트라-챔버 정합을 도시하기 위한 그래프 (104) 이다. 인트라-챔버 정합에서, 변수의 함수는 y-축을 따라 나타내어지고, 플라즈마 챔버 1로 제공된 전력은 플라즈마 챔버 1의 상이한 2가지 조건에 대한 동일한 플라즈마 챔버 1에 대해 x-축을 따라 나타내어진다. 상이한 2가지 조건은 조건 1과 조건 2를 포함한다.
실선 (105) 은 플라즈마 챔버 1이 조건 1에 있는 경우 나타내어진다. 실선 (105) 은 변수의 함수와 조건 1 동안 플라즈마 챔버 1로 전달된 전력 사이의 관계를 도시한다. 또한, 점선 (107) 은 플라즈마 챔버 1이 조건 2에 있는 경우 나타내어진다. 점선 (107) 은 변수의 함수와 조건 2 동안 플라즈마 챔버 1로 전달된 전력 사이의 관계이다.
일부의 실시예에서, 조건 2는 조건 1이 나타나는 시간과는 상이한 시간에 나타나는 조건이다. 예를 들어, 조건 2는 플라즈마 챔버의 하나 이상의 엘리먼트의 부식 이후 나타나며, 조건 1은 부식 이전에 나타난다.
몇몇의 실시예에서, 조건 2는 플라즈마 챔버 1의 사용 이후 나타나는 조건이며, 조건 1은 사용 이전에 나타나는 조건이다. 플라즈마 챔버 1은 사용 이전에 조건에 있다. 예를 들어, 플라즈마 챔버 1은 웨이퍼의 습식 세정을 수행하는데 사용되며, 플라즈마 챔버 1은 습식 세정의 수행 전과는 상이한 조건, 제 2 조건을 갖는다. 또 다른 예시로서, 플라즈마 챔버 1이 웨이퍼를 에칭하는데 사용된 후, 플라즈마 챔버 1은 에칭의 수행 이전과는 상이한 조건, 제 2 조건을 갖는다. 또 다른 예시로서, 하나 이상의 가스, 예컨대 처리 가스, 비활성 가스, 그 조합이 플라즈마 챔버 1 내로 공급된 후, 플라즈마 챔버 1은 가스의 공급 전과는 상이한 조건을 갖는다.
플라즈마 챔버 1이 제 1 조건에 있는 경우, 전력은 플라즈마 챔버 1로 공급되며, 전력은 x-축을 따라 나타내어지며, 변수는 플라즈마 챔버 1과 커플링된 RF 생성기 및 플라즈마 챔버 1에서의 웨이퍼 사이의 지점에서 측정되며, 변수의 함수는 y-축을 따라 나타내어진다. 실선 (105) 을 사용하여 도시된 관계는 플라즈마 챔버 1이 조건 1에 있는 경우 생성된다.
유사하게, 플라즈마 챔버 1이 조건 2에 있는 경우, 점선 (107) 을 사용하여 도시된 관계는 플라즈마 챔버 1에 전력을 공급하고, x-축을 따라 전력을 나타내고, 플라즈마 챔버 1과 커플링된 RF 생성기와 플라즈마 챔버 내의 웨이퍼 사이의 지점에서 변수를 측정하고, 변수의 함수를 계산하고, 그리고 함수 대 전력을 나타냄으로써 생성된다.
또한, 플라즈마 챔버들 1 및 2의 경우에서 전술된 것과 유사한 방식으로, 전력값 P2는 변수 함수 1에 기초하여 생성된다. 예를 들어, 선은 점선 (107) 상의 지즘 (115) 을 교차하도록 실선 (105) 상의, 변수 함수 1에 대응하는, 지점 (113) 으로부터 수평 연장된다. 변수 함수 1은 지점들 (113 및 115) 모두에서 달성된다. 선은 지점 (115) 으로부터 x-축 상의 지점, 예컨대 전력값 P2로 수직 연장된다.
플라즈마 챔버 1이 조건 1에 있는 경우, 전력값 P2는 변수 함수 1을 달성하도록 전력값 P1을 제공하는 대신 플라즈마 챔버 1로 제공된다.
일부의 실시예에서, 플라즈마 챔버 1의 조건 1이 플라즈마 챔버 1의 조건 2 동안 나타내는 수율보다 더 높은 수율을 나타낼 수 있다는 점이 주목되어야 한다. 다양한 실시예에서, 플라즈마 챔버 1의 조건 2는 플라즈마 챔버 1의 조건 1 동안 나타내는 수율보다 더 높은 수율을 나타낼 수 있다.
다양한 실시예에서, 지점 (113) 으로부터 선을 수평으로 연장하고, 나아가 x-축을 향해 지점 (115) 로부터 선을 수직 연장하는 것에 의해 전력값 P2를 생성하는 대신, 전력값 P1은 지점 (113) 에서 실선 (105) 를 교차하도록 지점 (115) 로부터 실선 (105) 으로 선을 수평 연장하고, 실선 (105) 상의 지점 (113) 으로부터 전력값 P1으로 수직 연장함으로써 생성된다. 전력값 P1은 변수 함수 1을 달성하도록 전력값 P2를 제공하는 대신, 조건 2 동안 플라즈마 챔버 1로 제공된다.
몇몇의 실시예에서, 플라즈마 챔버 1이 조건 1 또는 조건 2에 있는지와 상관없이, 무-플라즈마 테스트가 선들 (105 및 107) 에 도시된 관계를 획득하도록 플라즈마 챔버 1을 사용하여 수행된다. 예를 들어, 플라즈마 챔버 1 내의 압력은 플라즈마 챔버 1 내의 플라즈마 스트라이킹을 회피하도록 임계치 미만 또는 임계치 초과의 양으로 유지된다.
또한, 일부의 실시예에서, 수평 및/또는 수직 연장을 사용하는 대신, 실선 (105) 의 기울기 1과 점선 (107) 의 기울기 2가 계산된다. 전력값 P2는 기울기 1 대 기울기 2의 비로 전력 값 P1을 곱함으로써 결정된다. 전력값 P2는 조건 1 동안 전력값 P1을 제공하는 대신 조건 1 동안 플라즈마 챔버 1로 제공된다.
다양한 실시예에서, 기울기 1 및 2의 비로부터 전력값 P2를 결정하는 대신, 전력값 P1은 기울기 2 대 기울기 1의 비를 전력값 P2에 곱함으로써 결정되고, 전력값 P1은 조건 2 동안 플라즈마 챔버 1로 제공된다. 전력값 P1은 조건 2 동안 전력값 P2를 제공하는 대신 플라즈마 챔버 1로 제공된다.
도 3은 ESC (126) 내의 프로브 (124) 를 사용함으로써 플라즈마 챔버 (122) 로 전달된 전력과 변수의 함수 사이의 하나 이상의 관계를 생성하는 시스템 (120) 의 실시예의 블록도이다. 플라즈마 챔버 (122) 는 챔버 1의 예시이다.
일부의 실시예에서, 관계들 중 하나, 예컨대, 실선 (101) (도 1) 을 사용하여 도시된 관계, 예컨대, 실선 (105) (도 2) 를 사용하여 도시된 관계 등 중 하나는 플라즈마 챔버 (122) 의 조건 1 동안 생성되며, 관계들, 예컨대, 점선 (107) (도 2) 를 사용하여 도시된 관계 등 중 다른 하나는 플라즈마 챔버 (122) 의 조건 2 동안 생성된다.
호스트 시스템 (128) 은 하나 이상의 RF 생성기, 예컨대 x 메가헤르츠 (MHz) RF 생성기, y MHz RF 생성기, z MHz RF 생성기 등과 커플링된다. x MHz 생성기는 2 MHz RF 생성기일 수도 있으며, y MHz RF 생성기는 27 MHz RF 생성기일 수도 있으며, z MHz RF 생성기는 60 MHz RF 생성기일 수도 있다.
호스트 시스템 (128) 의 예시는 컴퓨터, 프로세서-기판 시스템, 워크스테이션, 랩톱, 데스크톱, 타블렛, 핸드폰 등을 포함한다.
본 명세서에서 사용된 바와 같이, 프로세서는 주문형 반도체 (ASIC; application specific integrated circuit), 프로그램 가능 논리 디바이스 (PLD), 마이크로프로세서, 중앙 처리 장치 (CPU) 등일 수도 있다.
일부의 실시예에서, 2 MHz RF 생성기 대신, 상이한 주파수의 RF 생성기, 예컨대, 3 MHz RF 생성기, 4 MHz RF 생성기, 5 MHz RF 생성기 등이 사용될 수도 있다. 유사하게, 상이한 주파수의 RF 생성기는 y 및 z MHz RF 생성기 대신 사용될 수도 있다.
몇몇의 실시예에서, x MHz RF 생성기는 27 MHz RF 생성기일 수도 있다. 이러한 실시예에서, y 및 z MHz RF 생성기는 2 및 60 MHz RF 생성기이다.
다수의 실시예에서, x MHz RF 생성기는 60 MHz RF 생성기일 수도 있다. 이 실시예에서, y 및 z MHz RF 생성기는 2 및 27 MHz RF 생성기이다.
플라즈마 챔버가 조건 1에 있는 경우, 호스트 시스템 (128) 은 x MHz RF 생성기의 디지털 신호 프로세서 (DSP) 로 동작 주파수와 전력값을 전송한다. DSP (130) 는 전력값과 주파수를 x MHz RF 생성기의 구동기 및 증폭기 시스템 (DAS; driver and amplifier system) (132) 으로 전송한다.
DAS (132) 는 전력값과 주파수를 수신하고 전력값과 주파수를 갖는 RF 신호를 생성한다. RF 신호는 RF 케이블 (134) 를 통해 임피던스 정합 회로인 정합박스 (136) 으로 공급된다.
일부의 실시예에서, DAS (132) 는 RF 케이블 (134) 을 통해 정합박스 (136) 로 공급되는 증폭된 RF 신호를 생성하도록 DAS의 구동기에 의해 생성되는 RF 신호를 증폭한다.
다양한 실시예에서, RF 신호가 실선 (101) (도 1), 실선 (105) (도 2), 점선 (103), (도 1), 및/또는 점선 (107) (도 2) 를 생성하도록 x MHz RF 생성기에 의해 생성되는 경우, y 및 z MHz RF 생성기는 RF 신호를 생성하지 않는다. 예를 들어, y 및 z MHz RF 생성기는 x MHz RF 생성기가 RF 신호를 생성하는데 사용되는 경우, 턴오프된다.
임피던스 정합 회로는 임피던스 정합 회로와 커플링된 로드 (load) 의 임피던스로 임피던스 정합 회로와 커플링된 전력 소스의 임피던스를 정합하도록 전기적 회로 컴포넌트, 예컨대, 인덕터, 커패시터 등을 포함한다. 예를 들어, 정합박스 (136) 는 동작 중인 하나 이상의 x, y 및 z MHz RF 생성기를 포함하는 소스의 임피던스 및 하나 이상의 RF 케이블 (134, 136 및 138) 의 임피던스를 플라즈마 챔버 (122) 와 RF 전송 라인 (140) 의 임피던스와 정합한다. RF 케이블 (134, 136 및 138) 은 정합박스 (136) 를 x, y 및 z MHz RF 생성기와 커플링한다. 전력 소스와 로드 사이의 임피던스 정합은 전력이 로드로부터 전력 소스를 향해 반사되는 확률을 감소시킨다.
RF 전송 라인 (140) 은 정합박스 (136) 와 플라즈마 챔버 (122) 와 커플링된다. RF 전송 라인 (140) 은 RF 터널 (144) 과 커플링된 RF 케이블 (142) 을 포함하고, RF 터널 (144) 은 RF 스트랩 (148) 과 커플링된다. RF 터널 (144) 내에는 절연체 (150) 와 RF 막대 (rod) (152) 가 놓인다. 절연체 (150) 는 RF 터널 (144) 의 쉬스 (sheath) 로부터 RF 막대 (152) 를 절연시킨다. RF 막대 (152) 는 연결부 (146) 를 통해, 때때로 RF 스푼 (spoon) 으로도 알려진 RF 스트랩 (148) 과 커플링된다. RF 스트랩 (148) 은 ESC (126) 의 하부 전극과 커플링된다.
웨이퍼 (154), 예컨대 반도체 웨이퍼는 ESC (126) 의 상부면 (156) 상에서 지지된다. 집적 회로, 예컨대 주문형 반도체 (ASIC), 프로그램 가능 논리 디바이스 (PLD) 등은 웨이퍼 (154) 상에서 디벨롭되며, 집적 회로는 다양한 디바이스, 예컨대, 핸드폰, 타블렛, 스마트폰, 컴퓨터, 랩톱, 네트워킹 장비 등에서 사용된다. 상부 전극 (158) 은 ESC (126) 의 하부 전극을 대향한다.
플라즈마 챔버 (122) 는 플라즈마 챔버 (122) 내에서 생성된 플라즈마를 인클로즈 (enclose) 하는 벽 W1, W2, W3 및 W4 를 갖는다. 일부의 실시예에서, 플라즈마 챔버 (122) 가 4개를 초과하는 벽을 포함한다는 점이 주목되어야 한다. 몇몇의 실시예에서, 플라즈마 챔버 (122) 의 벽은 인접한 벽과 직각을 이룰 수도 있다. 다양한 실시예에서, 플라즈마 챔버 (122) 의 벽은 플라즈마 챔버 (122) 의 인접한 벽과 비-수직인 각도를 형성할 수도 있다.
프로브 (124) 는 ESC (126) 내에 임베디드된다. 몇몇의 실시예에서, ESC (126) 내에 임베디드되는 대신, 프로브 (124) 는 ESC (126) 와 커플링된다. 프로브 (124) 는 플라즈마 챔버 (122) 내에 위치될 수도 있다.
프로브의 예시는 전류를 측정하는 전류 프로브, 전압을 측정하는 전압 프로브 및 전압과 전류를 측정하는 전압과 전류 프로브를 포함한다. 프로브 (124) 는 호스트 시스템 (128) 과 커플링된다. 예를 들어, 프로브 (124) 는 호스트 시스템 (128) 의 아날로그-디지탈 변환기와 커플링되며, 아날로그-디지탈 변환기는 호스트 시스템 (128) 의 프로세서와 커플링된다.
일부의 실시예에서, 프로브 (124) 대신, 2개의 프로브가 사용된다. 예를 들어, 전압을 측정하는 전압 프로브와 전류를 측정하는 전류 프로브가 프로브 (124) 대신 사용된다.
x MHz RF 생성기에 의해 생성된 RF 신호는 RF 케이블 (134), 정합박스 (136), RF 케이블 (142), RF 막대 (152), 입력기 (146) 및 RF 스트랩 (148) 을 통해 ESC (126) 의 하부 전극과 통신한다.
일부의 실시예에서, 상부 전극 (158) 은 중앙 가스 피드 (미도시) 와 커플링된 가스 분배 아퍼쳐 (aperture) 를 포함한다. 중앙 가스 피드는 하나 이상의 가스, 예컨대, 처리 가스, 비활성 가스, 그 조합 등을 가스 공급기 (미도시) 로부터 수신한다. 처리 가스의 예시는 O2와 같은 산소-함유 가스를 포함한다. 처리 가스의 다른 예시는 불소-함유 가스 예컨대 테트라플루오로메탄 (CF4), 설퍼 헥사플루오라이드 (SF6), 헥사플루오로에탄 (C2F6) 등을 포함한다. 상부 전극 (158) 은 접지된다.
x MHz RF 생성기에 의해 생성된 RF 신호가 플라즈마 챔버 (122) 로 제공되는 경우, 무-플라즈마 테스트가 수행된다. 예를 들어, 압력량은 플라즈마 챔버 (122) 내의 플라즈마 스트라이킹을 회피하도록 조건 1에 있는 플라즈마 챔버 (122) 내에서 유지된다. 또 다른 예로서, 가스는 공급되지 않거나 제한된 양의 가스가 플라즈마 챔버 (122) 내의 압력을 임계치 아래로 유지시키도록 공급된다. 무-플라즈마 테스트 동안, 플라즈마의 최소량이 플라즈마 챔버 (122) 에서 생성된다.
무-플라즈마 테스트 동안, 프로브 (124) 는 변수를 측정하고 호스트 시스템 (128) 로 측정치를 전송한다. 호스트 시스템 (128) 은 변수의 측정치를 변환하고, 호스트 시스템 (128) 의 아날로그-디지털 변환기는 측정치를 아날로그 형태에서 디지탈 형태로 변환한다. 일부의 실시예에서, 프로브 (124) 는 측정치를 호스트 시스템 (128) 으로 전송하기 전에 측정치를 아날로그에서 디지털 형태로 변환한다.
호스트 시스템 (128) 의 프로세서는 변수의 측정치에 기초하여 변수의 함수를 생성한다. 예를 들어, 호스트 시스템 (128) 의 프로세서는 변수의 제곱을 계산한다. RF 케이블 (134)과 커플링된 센서 (160), 예컨대, 전압과 전류 센서, 전력 센서 등이 x MHz RF 생성기에 의해 공급된 전력을 측정하거나, 공급된 전력과 RF 케이블 (134) 을 통해 플라즈마 챔버 (122) 로부터 x MHz RF 생성기를 향하게 반사된 전력 사이의 차이인 전달된 전력을 측정한다. 제공된 전력의 측정치는 DSP (130) 를 통해 호스트 시스템 (128) 의 프로세서로 센서 (160) 에 의해 전송된다. 계속된 예시에서, 프로세서는 관계, 예컨대, 실선 (101) (도 1) 에 도시된 관계, 실선 (105) (도 2) 에 도시된 관계 등을 변수의 함수와 일정 기간 동안 제공된 전력 사이에서 결정한다.
일부의 실시예에서, 공급된 전력 및 반사된 전력 모두를 측정하는 센서 (160) 대신, 센서 (160) 는 반사된 전력을 측정하고, 공급된 전력은 호스트 시스템 (128) 에 의해 DSP (130) 로 전송된 전력값이다.
또한, 플라즈마 챔버 (122) 의 조건이 관계가 결정된 후 변화하는 경우, 무-플라즈마 테스트가 수행된다. 예를 들어, 압력량은 플라즈마 챔버 (122) 내에서 플라즈마 스트라이킹을 회피하도록 조건 2에 있는 플라즈마 챔버 (122) 내에서 유지된다. 프로브 (124) 는 플라즈마의 변수를 측정하고, 센서 (160) 는 공급된 전력과 반사된 전력을 측정한다.
조건이 변화한 후, 호스트 시스템 (128) 의 프로세서는 프로브 (124) 로부터 변수의 측정치를 수신하고, DSP (130) 를 통해 공급된 전력과 반사된 전력의 측정치를 수신하고, 변수의 측정치로부터 변수의 함수를 계산하고, 공급된 전력과 반사된 전력으로부터 전달된 전력을 결정하고, 일정 기간 동안 전달된 전력과 변수의 함수 사이에서 관계, 예컨대, 점선 (107) (도 2) 에 도시된 관계를 결정한다.
일부의 실시예에서, 조건 2 동안 관계를 결정하도록 전달된 전력을 사용하는 대신, 공급된 전력이 사용된다. 예를 들어, 공급된 전력과 변수의 함수 사이의 관계가 결정된다.
웨이퍼 (154) 의 다음 처리 동안 또는 그 전에, 호스트 시스템 (128) 의 프로세서는 도 2를 참조하여 전술된 방식으로 플라즈마 챔버 (122) 에 대해 인트라-챔버 정합을 수행한다. 예를 들어, 프로세서는 기울기 1 및 기울기 2 (도 2)를 계산하고, 기울기 2와 기울기 1의 비를 계산하고, 전력값 P2를 산출하도록 비로 전력값 P1을 곱한다. 다른 예시로서, 기울기 1에 대한 기울기 2의 비 (도 2) 를 결정하는 대신, 프로세서는 기울기 2에 대한 기울기 1의 비 (도 2) 를 계산하고, 전력값 P1을 산출하도록 비로 전력값 P2를 곱한다. 또 다른 예시로서, 조건 1 동안 변수 함수 1을 달성하기 위해, 프로세서는 지점 (113) 에서 지점 (115) (도 2) 에서의 점선 (107) 을 교차하도록 선을 수평 연장한다. 계속되는 예시에서, 프로세서는 조건 1 동안 전력값 P2를 결정하기 위해 전력값 P2에서 x-축 (도 2) 을 교차하도록 교차된 지점 (115) 로부터 선을 수직 연장한다. 또 다른 예시로서, 조건 2 동안 변수 함수 1을 달성하기 위해, 프로세서는 지점 (113) (도 2) 에서 실선 (105) 를 교차하도록 지점 (115) 로부터 선을 수평 연장한다. 프로세서는 조건 2 동안 전력 값 P1을 결정하기 위해, x-축 상에서의 전력값 P1인 지점을 교차하도록 실선 (105) 상의 교차된 지점 (113) 으로부터 선을 수직 연장한다. 웨이퍼 (154) 에 대한 이어지는 처리는 그래프 (102) 및/또는 그래프 (104) (도 1 및 2) 를 획득한 후에 발생한다.
웨이퍼 (154) 의 처리에 대한 예시는 웨이퍼를 세정하는 것, 웨이퍼 (154) 상에 재료를 증착하는 것, 웨이퍼 (154) 를 에칭하는 것, 웨이퍼 (154) 를 스퍼터링하는 것, 웨이퍼 (154) 에 플라즈마를 인가하는 것 등을 포함한다.
일부의 실시예에서, 웨이퍼 (154) 에 대한 이어지는 처리 동안, 조건, 예컨대 조건 1, 조건 2 등에 있는 플라즈마 챔버 (122) 로 제공될 전력값, 예컨대 전력값 P1, 전력값 P2 등이 호스트 시스템 (128) 의 저장 디바이스로부터 예컨대 판독 등과 같이 식별된다. 저장 디바이스는 이하에서 더 설명된다. 전력 값을 갖는 RF 신호가 공급되고 처리 가스가 이어지는 처리 동안 플라즈마 챔버 (122) 로 공급되는 경우, 플라즈마는 플라즈마 챔버 (122) 내에서 스트라이킹된다. 플라즈마는 웨이퍼 (154) 를 처리하는데 사용된다.
다양한 실시예에서, 처리 조건은 웨이퍼 (154) 의 이어지는 처리 동안 달성된다. 예를 들어, 조건 2에서의 플라즈마 챔버 (122) 를 사용하는 이어지는 처리 동안, 전력값 P1과 변수 함수 1이 달성된다. 다른 예시로서, 조건 1에서의 플라즈마 챔버 (122) 를 사용하는 이어지는 처리 동안, 전력값 P1과 변수 함수 1이 달성된다.
x-축을 따라 나타내어진 전력값들이 전달된 전력값들인 일부의 실시예에서, 웨이퍼 (154) 의 이어지는 처리 동안, 플라즈마 챔버 (122) 가 조건 1에 있고, 조건 2와 연관된 전력값 P2가 플라즈마 챔버 (122) 로 전달되는 경우, 호스트 시스템 (128) 의 프로세서는 전달된 전력값 P2를 달성하도록 공급 전력값을 결정하고, 전달된 전력값 P1을 달성하도록 공급 전력값을 전송하는 대신, 플라즈마 챔버 (122) 의 조건 1 동안 전달된 전력 P2를 달성하도록 공급 전력값을 전송한다. 예를 들어, 프로세서는 전력값을 DAS (132) 로 전송하는 DSP (130) 로 전력값을 공급한다. DAS (132) 는 전력값에 기초하여 RF 신호를 생성하고 플라즈마 챔버 (122) 로 RF 신호를 공급한다. RF 신호가 플라즈마 챔버 (122) 로 공급되는 경우, 센서 (160) 는 반사된 전력을 측정하고 호스트 시스템 (128) 의 프로세서로 측정치를 전송한다. 호스트 시스템 (128) 의 프로세서는 RF 신호의 공급된 전력과 반사된 전력의 측정치로부터 전달된 전력을 계산하고, 전달된 전력이 전력값 P2와 동일한지를 결정한다. 전달된 전력값이 P2와 동일하다고 결정 시, 호스트 시스템 (128) 의 프로세서는 전달된 전력 P2에 대응하는 RF 신호를 계속 공급하기 위해, 전달된 전력값 P2를 달성하도록 DSP (130) 로 공급 전력값을 계속 전송한다. 이에 반해, 공급된 전력값을 변경하고, 공급된 전력과 반사된 전력을 측정하고, 전달된 전력을 계산하는 동작들은 전달된 전력이 전력값 P2와 정합될 때까지 반복된다.
유사하게, x-축을 따라 나타내어진 전력값이 웨이퍼 (154) 의 이어지는 처리 동안 전달된 전력값인 다양한 실시예에서, 플라즈마 챔버 (122) 가 조건 2에 있으며, 조건 1과 연관된 전력값 P1이 플라즈마 챔버 (122) 로 전달되는 경우, 호스트 시스템 (128) 의 프로세서는 전달된 전력값 P1을 달성하도록 공급 전력값을 결정하고, 전달된 전력값 P2를 달성하도록 공급 전력값을 전송하는 대신, 플라즈마 챔버 (122) 의 조건 2 동안 전달된 전력값 P1을 달성하도록 공급 전력값을 전달한다.
x-축을 따라 나타내어진 전력값이 웨이퍼 (154) 의 이어지는 처리 동안 공급 전력값인 실시예에서, 플라즈마 챔버 (122) 가 조건 1에 있고 조건 2와 연관된 전력값 P2가 플라즈마 챔버 (122) 로 공급되는 경우, 변수 함수 1을 달성하도록 조건 1 동안 전력값 P1을 전송하는 대신 호스트 시스템 (128) 의 프로세서는 플라즈마 챔버 (124) 의 조건 1 동안 전력값 P2를 전송하고, x MHz RF 생성기는 전력값 P1을 갖는 RF 신호를 공급하는 대신 전력값 P2를 갖는 RF 신호를 공급한다.
유사하게, x-축을 따라 나타내어진 전력값들이 웨이퍼 (154) 의 이어지는 처리 동안 전력 공급값인 다수의 실시예에서, 플라즈마 챔버 (122) 가 조건 2에 있고 조건 1과 연관된 전력값 P1이 플라즈마 챔버 (122) 로 공급되는 경우, 호스트 시스템 (128) 의 프로세서는 변수 함수 1을 달성하도록 조건 2 동안 전력값 P2를 전송하는 대신 플라즈마 챔버 (124) 의 조건 2 동안 전력값 P1을 전송하고, x MHz RF 생성기는 전력값 P2를 갖는 RF 신호를 공급하는 대신 전력값 P1을 갖는 RF 신호를 공급한다.
몇몇의 실시예에서, 호스트 시스템 (128) 과 연결된 프로브 (124) 를 사용하는 대신, 네트워크 분석기 또는 임피던스 스캐너가 프로브 (124) 대신 사용된다. 예를 들어, 네트워크 분석기는 호스트 시스템 (128) 과 커플링된 네트워크 분석기와 커플링된 RF 프로브를 포함한다. RF 프로브는 소형의 마이크로파 안테나일 수도 있다. 네트워크 분석기는 RF 프로브로 전기적 신호를 공급한다. 전기적 신호를 수신하면, RF 프로브는 마이크로파 신호를 플라즈마 챔버 (122) 로 발사한다. 마이크로 신호는 플라즈마 챔버 (122) 내의 플라즈마와 상호작용하고 RF 프로브에 의해 검출된다. RF 프로브는 검출된 마이크로파 신호를 전기적 신호로 변환한다. 네트워크 분석기는 전기적 신호로부터 반사 계수를 결정하고 호스트 시스템 (128) 으로 반사 계수를 전송한다. 호스트 시스템 (128) 의 프로세서는 일정 시간 동안 반사 계수의 변화를 기록하고, 반사 계수와 ESC (126) 의 하부 전극으로 공급되는 전력 사이의 관계를 결정하도록 그래프, 예컨대 그래프 (102) (도 1), 그래프 (104) (도 2) 등을 생성한다. 다음으로, 관계는 조건, 예컨대 조건 1, 조건 2 등을 달성하거나 챔버-대-챔버 정합을 수행하기 위해, 하부 전극으로 제공되는 전력을 결정하도록 호스트 시스템 (128) 의 프로세서에 의해 사용된다.
몇몇의 실시예에서, 네트워크 분석기 또는 임피던스 스캐너가 사용되는 경우, 무-플라즈마 테스트가 플라즈마 챔버 (122) 내에서 수행된다.
도 4a는 RF 터널 (176) 내의 프로브 (124) 를 사용함으로써 플라즈마 챔버 (123) 로 전달되는 전력과 변수의 함수 사이의 하나 이상의 관계를 생성하기 위한 플라즈마 시스템 (172) 의 실시예의 블록도이다. 플라즈마 시스템 (172) 은 플라즈마 챔버 (123) 가 ESC (126) 내의 프로브 (124) 를 배제한다는 점을 제외하고는 플라즈마 시스템 (120) (도 3) 의 방식과 유사한 방식으로 동작한다. 대신, 플라즈마 시스템 (172) 은 RF 터널 (176) 의 절연체 내의 프로브 (124) 를 포함한다. 프로브 (124) 는 RF 막대 (152) 의 출력과 연결된다.
RF 막대 (152) 의 출력은 연결부 (146) 를 통해 RF 스트랩 (148) 의 입력과 커플링된다. RF 막대 (152) 의 입력은 RF 케이블 (142) 의 출력과 커플링된다. RF 케이블 (142) 의 입력은 정합박스 (136) 와 커플링된다. RF 스트랩 (148) 의 출력은 플라즈마 챔버 (123) 의 ESC (127) 의 하부 전극과 커플링된다. ESC (127) 은 ESC (127) 이 프로브 (124) (도 3) 을 배제한다는 점을 제외하고 ESC (126) (도 3) 와 유사한 구조와 기능을 갖는다.
일부의 실시예에서, 프로브 (124) 는 RF 막대 (152) 와 커플링되고 RF 터널 (176) 외부에 위치된다.
RF 케이블 (142), RF 막대 (152), RF 터널 (176), 연결부 (146) 및 RF 스트랩 (148) 은 ESC (127) 의 하부 전극과 정합박스 (136) 을 커플링하는 RF 전송 라인 (178) 의 부분이다.
프로브 (124) 는 x MHz RF 생성기가 플라즈마 챔버 (123) 로 전력을 공급하는 경우 변수를 측정한다. 전력은 RF 케이블 (134), 정합박스 (136) 및 RF 전송 라인 (178) 을 통해 플라즈마 챔버 (123) 로 공급된다.
일부의 실시예에서, 처리 가스가 플라즈마 챔버 (123) 로 공급되고 RF 신호가 플라즈마 챔버 (123) 내에서 플라즈마 스트라이킹을 하도록 플라즈마 챔버 (123) 로 공급되는 경우, 변수는 플라즈마 챔버 (123) 에서 측정된다.
몇몇의 실시예에서, 변수는 무-플라즈마 테스트 동안 측정된다. 예를 들어, RF 신호가 플라즈마 챔버 (123) 로 제공되고, 가스가 플라즈마 챔버로부터 공급되고, 압력량이 플라즈마 챔버 (123) 내에서 최소의 플라즈마량을 스트라이킹하도록 또는 플라즈마 챔버 (123) 내에서 플라즈마 스트라이킹을 회피하도록 임계치 아래 또는 임계치 위로 유지되는 경우, 변수는 플라즈마 챔버 (123) 에서 측정된다.
변수의 측정치는 프로브 (124) 에 의해 호스트 시스템 (128) 으로 전송된다. 변수의 측정치를 수신하면, 호스트 시스템 (128) 의 아날로그-디지털 변환기는 측정치를 아날로그 형태에서 디지탈 형태로 변환하고 호스트 시스템 (128) 의 프로세서로 디지털 측정치를 전송한다. 호스트 시스템 (128) 의 프로세서는 RF 전송 신호 라인 (178) 및/또는 ESC (127) 의 하나 이상의 부분의 컴퓨터-생성된 모델을 ESC (127) 에서의 변수를 결정하도록 디지털 형태로 측정치에 적용한다. 컴퓨터-생성된 모델은 이하에서 설명된다.
일부의 실시예에서, 호스트 시스템 (128) 내의 아날로그 포맷에서 디지털 포맷으로의 변환을 수행하는 대신, 프로브 (124) 가 변수의 아날로그 측정치를 디지털 포맷으로 변환하고 디지털 측정치를 호스트 시스템 (128) 의 프로세서로 전송한다.
도 4b는 ESC 모델 (182) 에서 변수의 값을 결정하도록 컴퓨터-생성된 모델을 사용하기 위한 호스트 시스템 (180) 의 실시예의 블록도이다. 호스트 시스템 (180) 은 프로세서 (184) 및 저장 디바이스 (186), 예컨대 RAM (random access memory), ROM (read-only memory) 또는 이들의 조합을 포함한다. 일부의 실시예에서, 저장 디바이스 (186) 는 하드 디스크, 플래시 메모리, 디스크 어레이 등이다. 호스트 시스템 (180) 은 호스트 시스템 (123) (도 3) 의 예시이다. 저장 디바이스 (186) 는 프로세서 (184) 와 커플링되고, 케이블 모델 (188), 터널 모델 (190), 스트랩 모델 (192) 및 ESC 모델 (182) 를 저장한다.
케이블 모델 (188) 이 RF 케이블 (142) (도 4a) 의 컴퓨터 생성 모델이고, 터널 모델 (190) 이 RF 터널 (176) (도 4a) 의 컴퓨터-생성 모델이고, 스트랩 모델 (192) 이 RF 스트랩 (148) (도 4a) 의 컴퓨터-생성 모델이고, ESC 모델 (182) 이 ESC (127) (도 4a) 의 컴퓨터-생성 모델이라는 점이 주목되어야 한다. 예를 들어, 터널 모델 (190) 은 RF 막대 (152) 의 특성과 유사한 특정들, 예컨대 커패시턴스, 인덕턴스, 복소 전력, 복소 전압 및 전력 등을 갖는다. 다른 예시로서, 터널 모델 (190) 은 RF 막대 (152) 와 동일한 커패시턴스, 인덕턴스, 저항 또는 그 조합을 갖는다. 또 다른 예시로서, 스트랩 모델 (192) 은 RF 스트랩 (148) (도 4a) 와 동일한 커패시턴스, 인덕턴스, 저항 또는 그 조합을 갖는다. 다른 예시로서, ESC 모델 (182) 은 ESC (127) (도 4a) 와 동일한 커패시턴스, 인덕턴스, 저항 또는 그 조합을 갖는다.
프로세서 (184) 는 프로브 (124) (도 4a) 로부터 변수의 측정치를 수신한다. 프로세서 (184) 는 스트랩 모델 (192) 과 ESC 모델 (182) 의 특성들과 프로브 (124) 로부터 수신한 변수의 측정치에 기초하여 ESC 모델 (182) 의 출력에서 변수의 값을 결정한다. 예를 들어, 프로세서 (184) 는 RF 막대 (152) (도 4a) 의 출력에서 전류의 크기를 수신하고, RF 막대 (152) 의 출력에서 전압의 크기를 수신하고, 전압과 전류 사이의 위상을 수신하고, ESC 모델 (182) 의 출력에서 전압과 전류를 결정하도록 전류, 전압, 위상, 및 스트랩 모델 (192) 과 ESC 모델 (182) 의 커패시턴스, 인덕턴스 및/또는 저항에 의해 생성된 전류와 전압의 지향된 합을 결정한다. 스트랩 모델 (192) 과 ESC 모델 (182) 의 커패시턴스, 인덕턴스 및/또는 저항에 의해 생성된 전류와 전압은 전압의 크기, 전류의 크기 및 전류의 위상을 포함한다. 일부의 실시예에서, 저항은 위상을 배제하고 전류 크기와 전압 크기로 정의된다.
프로세서 (184) 는 플라즈마 챔버 (122) (도 4a) 로 제공되는 전력과 변수의 함수의 관계를 결정하기 위해 변수의 함수를 계산하도록 프로브 (124) 로부터 변수의 측정치 대신 ESC 모델 (182) 의 출력에서의 변수의 값을 사용한다. 관계에 기초하여, 프로세서 (184) 는 전력값 P1 또는 전력값 P2를 계산한다.
다양한 실시예에서, 프로브 (124) 는 RF 막대 (152) 의 출력 대신 RF 막대 (152) 의 입력과 연결된다. 이 실시예에서, 프로세서 (184) 는 프로브 (124) 로부터 수신된 변수의 측정치와 터널 모델 (190), 스트랩 모델 (192) 및 ESC 모델 (182) 의 특성에 기초하여 ESC 모델 (182) 의 출력에서의 변수의 값을 결정한다.
다양한 실시예에서, 프로브 (124) 는 x MHz RF 생성기와 웨이퍼 (154) (도 4a) 사이의 임의의 지점과 커플링된다. 예를 들어, 프로브 (124) 는 RF 케이블 (134) (도 4a) 와 커플링된다. 이 실시예에서, 지점과 웨이퍼 (154) 사이의 플라즈마 시스템 (172) (도 4a) 의 엘리먼트들의 컴퓨터-생성된 모델이 생성되고, ESC (127) 의 컴퓨터-생성된 모델에서의 전압은 프로브 (124) 로 측정된 전압과 지점과 웨이퍼 (154) 사이의 엘리먼트들의 임피던스에 기초하여 결정된다. 예를 들어, 프로브 (124) 가 RF 케이블 (134) 상의 지점과 커플링되는 경우, 지점으로부터 정합 박스 (136) 사이의 RF 케이블 (134) 의 부분 사이에서 플라즈마 시스템 (172) 의 엘리먼트들의 컴퓨터-생성된 모델, 정합박스 (136) 의 컴퓨터 모델, RF 케이블 (142) 의 컴퓨터 모델, 및 RF 막대 (152) 의 컴퓨터 모델이 생성된다. 이 실시예에서, ESC 모델 (182) 에서의 변수는, 지점에서 측정된 변수 및 RF 케이블 (134) (도 4a) 의 부분의 컴퓨터-생성된 모델, 정합박스 (136) 의 컴퓨터-생성된 모델, 케이블 모델 (188), 터널 모델 (190), 스트랩 모델 (192) 및 ESC 모델 (182) 의 임피던스에 기초하여 결정된다. 다른 예시로서, 프로브 (124) 가 x MHz RF 생성기의 출력과 커플링되는 경우, RF 케이블 (134) 의 컴퓨터 모델, 정합박스 (136) 의 컴퓨터 모델, RF 전송 라인 (178) 의 컴퓨터 모델, 및 ESC (127) 의 컴퓨터 모델이 생성된다. x MHz RF 생성기의 출력은 RF 케이블 (134) (도 4a) 의 입력과 커플링된다. 이 실시예에서, x MHz RF 생성기의 출력에서의 변수가 측정되고, 웨이퍼 (154) 에서의 변수는 측정된 변수와 RF 케이블 (134), 정합박스 (136), RF 전송 라인 (178) 및 ESC (127) 의 엘리먼트들, 예컨대, 저항기, 커패시터, 인덕터 등에 기초하여 결정된다.
다양한 실시예에서, ESC 모델 (182) 은 ESC (127) (도 4a) 에서의 변수를 결정하는데 사용되지 않는다. 예를 들어, 프로브 (124) 가 RF 케이블 (134) 상의 지점과 커플링되는 경우, 지점으로부터 정합박스 (136) 로의 RF 케이블 (134) 의 부분 사이의 플라즈마 시스템 (172) 의 엘리먼트의 컴퓨터 모델, 정합박스 (136) 의 컴퓨터 모델, RF 케이블 (142) 의 컴퓨터 모델, RF 막대 (152) 의 컴퓨터 모델 및 RF 스트랩 (148) 의 컴퓨터 모델이 생성된다. 이 실시예에서, ESC 모델 (182) 에서의 전압은 지점에서 측정된 전압과 RF 케이블 (134) 의 부분의 컴퓨터-생성된 모델, 정합박스 (136) 의 컴퓨터-생성된 모델, 케이블 모델 (188), 터널 모델 (190) 및 스트랩 모델 (192) 의 임피던스에 기초하여 결정된다.
도 5는 플라즈마 챔버 (122) (도 3) 와 챔버 2의 예시인 플라즈마 챔버 (202) 사이의 인터-챔버 정합을 도시하는 플라즈마 시스템 (200) 의 실시예의 블록도이다. 시스템 (200) 이 플라즈마 챔버 (122) 대신 플라즈마 챔버 (202) 를 포함하는 시스템 (200) 인 것을 제외하면 시스템 (120) (도 3) 과 유사하다. 예를 들어, 플라즈마 챔버 (202) 는 플라즈마 챔버 (122) 와는 상이한 식별 코드를 가지며, 플라즈마 챔버 (202 및 122) 모두는 동일한 구조 및/또는 기능을 갖는다. 다른 예시로서, 플라즈마 챔버 (122) 의 하나 이상의 엘리먼트는 플라즈마 챔버 (202) 의 하나 이상의 엘리먼트로 대체된다. 또 다른 예시로서, ESC (204) 는 플라즈마 챔버 (122) 의 ESC (126) (도 2) 를 대체한다. 일부의 실시예에서, 플라즈마 챔버 (122) 의 하나 이상의 엘리먼트를 대체하는 플라즈마 챔버 (202) 의 하나 이상의 엘리먼트는 플라즈마 챔버 (122) 의 하나 이상의 엘리먼트와 동일한 구조 및/또는 기능을 갖는다. 예를 들어, ESC (204) 는 ESC (126) 의 치수와 동일한 치수를 갖는다. 다른 예로서, ESC (204) 는 ESC (126) 과 동일한 엘리먼트들, 예컨대 히터, 열 절연체, 세라믹 지지부 등을 포함한다. 또 다른 예시로서, ESC (204) 는 ESC (126) 의 특성과 동일한 특성, 예컨대 인덕턴스, 커패시턴스, 저항, 이들의 조합 등을 갖는다. 다른 예시로서, ESC (204 및 126) 모두는 RF 생성기에 의해 전력을 제공받는다. 다른 예시로서, 플라즈마 챔버 (202 및 122) 모두는 동일한 타입이다. 도시를 위해, TCP 챔버는 ICP 챔버와는 상이한 타입이며, TCP 와 ICP 챔버 모두 ECR 플라즈마 챔버와는 상이한 타입이다.
일부의 실시예에서, 플라즈마 챔버 (122) 는 플라즈마 챔버 1의 예시이며, 플라즈마 챔버 (202) 는 플라즈마 챔버 2의 예시이다.
일부의 실시예에서, 플라즈마 챔버 (122) 는 플라즈마 챔버 (202) 와 RF 전송 라인 (140) 을 커플링하도록 RF 전송 라인 (140) 으로부터 디커플링된다 (decouple). 웨이퍼 (154) 는 ESC (204) 의 상부면 (206) 상에 위치된다. 상부면 (206) 은 상부 전극 (158) 에 대향한다.
일부의 실시예에서, 점선 (103) (도 1) 을 사용하여 도시되는 관계는 플라즈마 챔버 (202) 를 사용하여 생성된다.
프로브 (124) 는 ESC (126) 내의 프로브 (124) 를 임베딩하는 방식과 유사한 방식으로 ESC (204) 내에 임베디드된다. 몇몇의 실시예에서, ESC (204) 내의 프로브 (124) 를 임베딩하는 것 대신, 프로브 (124) 는 ESC (204) 와 커플링되고 ESC (204) 외부에 위치된다.
플라즈마 챔버 (202) 를 사용하는 무-플라즈마 테스트의 수행 동안, 호스트 시스템 (128) 은 플라즈마 챔버 (202) 를 공급하도록 RF 신호의 주파수와 RF 신호내의 전력량을 결정한다. 전력량과 주파수는 DSP (130) 를 통해 DAS (132) 로 입력으로서 전송된다. DAS (132) 는 전력량과 주파수를 갖는 RF 신호를 생성한다. RF 신호는 RF 케이블 (134), 정합박스 (136) 및 RF 전송 라인 (140) 을 통해 ESC (204) 의 하부 전극으로 x MHz RF 생성기에 의해 공급된다. 처리 가스가 플라즈마 챔버 (202) 로 공급되고 전력이 RF 신호를 통해 ESC (204) 의 하부 전극으로 공급되는 경우, 플라즈마는 플라즈마 챔버 (202) 내에서 생성된다.
전술된 바와 같이, 무-플라즈마 테스트가 수행되는 몇몇의 실시예에서, 전력이 RF 신호를 통해 ESC (204) 의 하부 전극으로 공급되고, 임계치 미만의 또는 임계치 초과의 압력량이 플라즈마 챔버 (202) 내에서 유지되는 경우, 최소한의 플라즈마 양이 플라즈마 챔버 내에서 스트라이킹된다.
무-플라즈마 테스트 동안, 프로브 (124) 는 플라즈마 챔버 (202) 의 플라즈마와 연관된 변수를 측정하고, 호스트 시스템 (128) 으로 측정치를 전송한다. 호스트 시스템 (128) 의 프로세서는 변수의 측정치로부터 변수의 함수를 계산한다. 호스트 시스템 (128) 의 프로세서는 변수의 함수와 플라즈마 챔버 (202) 로 x MHz RF 생성기에 의해 공급된 전력으로부터, 예를 들어, 그래프 (102) (도 1) 의 점선 (103) 을 사용하여 도시된 관계와 같은 관계를 결정한다.
일부의 실시예에서, 변수의 함수와 무-플라즈마 테스트 동안 공급된 전력 사이의 관계를 결정하는 대신, 호스트 시스템 (128) 의 프로세서는 변수의 함수와 무-플라즈마 테스트 동안 플라즈마 챔버 (202) 로 전달된 전력 사이의 관계를 결정한다. 예를 들어, 센서 (160) 는 플라즈마 챔버 (202) 로부터 x MHz RF 생성기를 향해 반사된 전력을 측정한다. 센서 (160) 는 DSP (130) 을 통해 호스트 시스템 (128) 의 프로세서로 반사된 전력 측정치를 전송한다. 호스트 시스템 (128) 의 프로세서는, 전달된 전력을 결정하고 나아가 변수의 함수와 전달된 전력 사이의, 그래프 (102) 의 점선 (103) 에 도시된, 관계를 결정하도록, 공급된 전력과 반사된 전력의 차이를 계산한다.
플라즈마 챔버 (122) (도 3) 가 금 챔버인 경우, 호스트 시스템 (128) 의 프로세서는 지점 (109) 에서의 실선 (101) 과 교차하도록 변수 함수 1에 대응하는 점선 (103) (도 1) 상의 지점 (111) 으로부터 선을 수평 연장한다. 호스트 시스템 (128) 의 프로세서는 전력값 P1에서의 x-축을 교차하도록 교차된 지점 (109) 으로부터 x-축을 향해 선을 수직 연장한다.
웨이퍼 (154) 의 이어지는 처리 동안, 변수 함수 1을 달성하도록 DSP (130) 를 통해 DAS (132) 로 전력값 P2를 전송하는 대신, 호스트 시스템 (128) 의 프로세서는 변수 함수 1을 달성하도록 DSP (130) 를 통해 DAS (132) 로 전력값 P1을 식별하고 전송한다. 예를 들어, 호스트 시스템 (128) 의 프로세서는 전력값 P2와 연관된 전력값 P1을 저장 디바이스 (186) (도 4b) 로부터 식별, 예컨대 판독 등을 한다. 전력값 P1과 P2는 변수 함수 1을 달성하며 서로와 연관된다. 전력값 P1을 수신하면, DAS (132) 는 전력값 P1을 갖는 RF 신호를 생성하고 RF 신호를 RF 케이블 (134), 정합박스 (136) 및 RF 전송 라인 (140) 을 통해 ESC (204) 의 하부 전극으로 전송한다. 저장 디바이스 (186) 로부터 판독되고 변수 함수 1을 달성하도록 사용된 전력값 P1 및 변수 함수 1은 처리 조건의 예시이다.
일부의 예시에서, ESC (204) 의 저장 디바이스 (186) 로부터 판독되고 변수 함수 1을 달성하도록 사용된 전력값 P2 및 변수 함수 1은 처리 조건의 예시이다.
RF 신호가 ESC (204) 의 하부 전극으로 제공되고 처리 가스가 플라즈마 챔버 (202) 로 공급되는 경우, 플라즈마는 웨이퍼 (154) 상에서 처리, 예컨대, 에칭, 세정, 증착 등을 수행하도록 플라즈마 챔버 (202) 내에서 스트라이킹된다. 또한, 전력값 P2 대신 전력값 P1을 갖는 RF 신호가 ESC (204) 의 하부 전극으로 제공되는 경우, 하부 전극으로 제공된 전력은 변수 함수 1을 달성하도록 값 P2로부터 값 P1으로 조정된다.
일부의 실시예에서, 호스트 시스템 (128) 의 프로세서는 그래프 (102) (도 1) 의 점선 (103) 을 사용하여 도시된 관계로부터 기울기 2를 결정한다. 호스트 시스템 (128) 의 프로세서는 또한 실선 (101) (도 1) 을 사용하여 도시된 관계로부터 기울기 1을 결정한다. 변수가 전압인 경우, 호스트 시스템 (128) 의 프로세서는 기울기 2 대 기울기 1의 비를 계산하고, 전력값 P1을 달성하고 변수 함수 1을 달성하도록 기울기 2 대 기울기 1의 비로 전력값 P2를 곱한다.
웨이퍼 (154) 의 다음 처리 동안, 변수 함수 1을 달성하기 위해 전력값 P2를 공급하도록 x MHz RF 생성기를 제어하는 대신, 호스트 시스템 (128) 의 프로세서는 변수 함수 1을 달성하기 위해 전력값 P1을 공급하도록 x MHz RF 생성기를 제어한다. 전력값 P1을 갖는 RF 신호를 생성하기 위해 DSP (130) 를 통해 호스트 시스템 (128) 의 프로세서로부터 지시를 수신하면, DAS (132) 는 전력값 P1을 갖는 RF 신호를 생성하고 RF 케이블 (134), 정합박스 (136), RF 전송 라인 (140) 을 통해 ESC (204) 의 하부 전극으로 RF 신호를 공급한다.
그래프 (102) (도 1) 에서 도시된 관계가 변수의 함수와 전달된 전력 사이의 관계인 다양한 실시예에서, 호스트 시스템 (128) 의 프로세서는 전달된 전력값 (P2)을 달성하고 변수 함수 1을 달성하도록 공급 전력값을 결정한다. 프로세서는 DSP (130) 을 통해 DAS (132) 로 공급 전력값을 제공한다. DAS (132) 는 변수 함수 1을 달성하도록 전달된 전력값 P1에 대응하는 공급 전력값을 갖는 RF 신호를 생성한다. RF 신호는 RF 케이블 (134), 정합박스 (136) 및 RF 전송 라인 (140) 을 통해 플라즈마 챔버 (202) 의 하부 전극으로 x MHz RF 생성기에 의해 공급된다.
일부의 실시예에서, ESC (204) 내에서 프로브 (124) 를 사용하는 대신, 프로브 (124) 는 RF 터널 (144) 내에 임베디드되거나, 그리고/또는 RF 막대 (152) 에서 전압을 측정하도록 RF 막대 (152) 와 커플링된다. RF 막대 (152), RF 스트랩 (148) 및 ESC (204) 의 컴퓨터-생성된 모델은 ESC (204) 에서의 변수를 결정하는데 사용된다. ESC (204) 에서의 변수는 RF 터널 (155) 내에서 임베디드된 프로브 (124) 를 사용하여 측정된 변수 및 RF 로드 (152), RF 스트랩 (148) 및 ESC (204) 의 엘리먼트들의 임피던스를 기초로 결정된다. 일부의 실시예에서, ESC (204) 의 컴퓨터-생성된 모델을 사용하는 대신, ESC (204) 에서의 변수는 RF 막대 (152) 와 RF 스트랩 (148) 의 컴퓨터-생성된 모델에 기초하여, 그리고 RF 터널 (155) 내에 임베디드된 프로브 (124) 를 사용하여 측정된 변수에 기초하여 결정된다.
일부의 실시예에서, 프로브 (124) 는 x MHz RF 생성기와 웨이퍼 (154) 사이의 지점과 커플링되고, 지점과 플라즈마 챔버 (204) 사이의 엘리먼트들의 컴퓨터-생성된 모델은 ESC (204) 에서의 변수를 결정하는데 사용된다. 예를 들어, 프로브 (124) 는 RF 케이블 (134) 에서의 변수를 결정하도록 RF 케이블 (134) 과 커플링된다. 또한, 정합박스 (136), RF 전송 라인 (140) 및 ESC (204) 의 컴퓨터-생성된 모델은 ESC (204) 에서의 변수를 결정하는데 사용된다. 다른 예시로서, 프로브 (124) 는 RF 케이블 (134) 에서의 변수를 결정하도록 RF 케이블 (134) 과 커플링된다. 또한, 정합박스 (136) 및 RF 전송 라인 (140) 의 컴퓨터-생성된 모델은 ESC (204) 에서의 변수를 결정하는데 사용된다.
몇몇의 실시예에서, 시스템 (200) 의 x MHz RF 생성기가 그래프 (102 또는 104) (도 1 및 도 2) 를 사용하여 도시된 관계를 결정하기 위해 플라즈마 챔버 (202) 로 전력을 공급하는데 사용되는 경우, y 및 z MHz RF 생성기는 턴오프된다. 유사하게, 시스템 (200) 의 y MHz RF 생성기가 그래프 (102 또는 104) 를 사용하여 도시된 관계를 결정하기 위해 플라즈마 챔버 (202) 로 전력을 공급하는데 사용되는 경우, x MHz RF 생성기는 턴오프된다. 또한, 시스템 (200) 의 z MHz RF 생성기가 그래프 (102 또는 104) 를 사용하여 도시된 관계를 결정하기 위해 플라즈마 챔버 (202) 로 전력을 공급하는데 사용되는 경우, x 및 y MHz RF 생성기는 턴오프된다.
도 6은 무-플라즈마 테스트 동안 전압과 압력 사이의 관계를 도시하는데 사용되는 그래프 (210) 이다. 그래프 (210) 는 y-축을 따라 전압을 나타내고, x-축을 따라 압력을 나타낸다. 압력이 임계치 th1 아래인 경우, 플라즈마의 최소량은 플라즈마 챔버 내에서 생성된다. 일부의 실시예에서, 임계치 th1 보다 더 낮은 압력은 처리 가스를 공급하지 않음으로써 또는 예컨대 0.2 sccm (standard cubic centimeter per minute) 보다 적은 극단적으로 (ultra) 낮은 유속으로 처리 가스를 공급함으로써 달성된다. 유사하게, 압력이 임계치 th2 위인 경우, 플라즈마는 생성되지 않거나, 최소량의 플라즈마가 플라즈마 챔버에서 생성된다. 일부의 실시예에서, 임계치 th2 위의 압력은 예컨대 7 Torr 이상의 극단적으로 높은 압력으로, 최대로 달성가능한 유속 등으로 가스를 공급함으로써 달성된다. 플라즈마가 생성되지 않거나 최소량의 플라즈마가 플라즈마 챔버에서 생성되는 경우, 플라즈마 챔버는 무-플라즈마 테스트를 수행하는데 사용된다.
일부의 실시예에서, 호스트 시스템 (128) 의 프로세서는 가스 저장고 (미도시) 로부터 상부 전극 (158) 의 가스 유입구 (도 3, 4a, 및 5) 로 가스의 공급을 용이하게 하는 가스 공급 밸브 (미도시) 를 제어한다. 예를 들어, 프로세서는 가스의 공급을 제어하기 위한 양에 의해 가스를 개방하거나 폐쇄하도록 전류를 공급하는 구동기, 예컨대 트랜지스터 등을 제어한다. 또한, 공급의 제어는 호스트 시스템 (128) 의 프로세서로 하여금 가스가 공급되는 플라즈마 챔버 내에서 압력을 제어하도록 한다.
도 7은 챔버-대-챔버 정합을 수행하기 위한 레시피 (212) 의 실시예를 도시한다. 일부의 실시예에서, 레시피 (212) 는 호스트 시스템 (128) (도 3, 4a, 5) 의 저장 디바이스 (186) (도 4b) 내에 저장된 데이터베이스의 일부이다. 예를 들어, 레시피 (212) 는 저장 디바이스 (186) 내에서 파일의 형태로 저장될 수도 있다.
레시피 (212) 는 플라즈마 챔버에서의 가스 압력, 플라즈마 챔버의 하부 전극에 제공되는 RF 신호의 전력, 플라즈마 챔버 내에서 유지되는 온도, RF 신호의 주파수 및 플라즈마 챔버의 하부 전극과 상부 전극 사이의 갭을 포함한다.
일부의 실시예에서, 호스트 시스템 (128) (도 3, 4a 및 5) 의 프로세서는 RF 생성기로 RF 신호의 주파수를 전송한다. RF 생성기의 DSP는 주파수를 수신하고 RF 생성기의 DAS로 주파수를 전송한다. DAS는 주파수를 갖는 RF 신호를 생성하고 플라즈마 챔버로 RF 신호를 공급한다.
다양한 실시예에서, 상부 전극은 모터-구동되는 스크류 메커니즘 (미도시) 를 사용하여 승강되거나 하강될 수도 있는 상부 구조 (미도시) 내에 위치된다. 프로세서 시스템 (128) 의 호스트는 상부 전극과 하부 전극 사이의 갭을 변경하기 위해 상부 구조를 위로 또는 아래로 이동시키도록 구동기를 통해 모터-구동되는 스크류 메커니즘을 제어할 수도 있다.
몇몇의 실시예에서, 히터는 ESC 내에 포함되고 히터는 플라즈마 챔버 내에서 온도를 변경하기 위해 구동기를 통해 호스트 시스템 (128) 의 프로세서에 의해 제어된다.
다수의 실시예에서, 열전달 메커니즘, 예컨대, 덕트 (duct) 등은 플라즈마 챔버 내에서 제공되며, 냉각 액체의 흐름은 밸브를 통해 호스트 시스템 (128) 의 프로세서와 플라즈마 챔버 내에서 온도를 변경하기 위한 구동기에 의해 제어된다.
레시피 (212) 는, 플라즈마 챔버 내에서의 압력, 플라즈마 챔버의 하부 전극으로 제공된 RF 신호, 플라즈마 챔버 내의 온도, RF 신호의 주파수 및 플라즈마 챔버 내 하부 전극과 상부 전극 사이의 갭을 제어하기 위해 호스트 시스템 (128) 의 프로세서에 의해 적용된다.
다양한 실시예에서, 레시피 (212) 에 도시된 전력은 전달된 전력 또는 공급된 전력이다.
레시피 (212) 에 나타난 바와 같이, 동일한 레시피 (212) 가 챔버 1, 챔버 2, 챔버 3 (미도시) 에 적용된다. 예를 들어, 호스트 시스템 (128) 의 프로세서는, 챔버 1, 2 및 3에 동일한 레시피 (212) 를 적용하기 위해, 챔버 1, 2 및 3 내의 압력, 챔버 1, 2 및 3으로 제공된 RF 신호의 전력, 챔버 1, 2 및 3 내의 온도, RF 신호의 주파수 및 챔버 1, 2 및 3의 하부 전극과 상부 전극 상이의 갭을 제어한다. 다른 예시로서, 레시피 (212) 는 점선 (102) (도 1) 에 도시된 관계와 실선 (101) 에 도시된 관계를 생성하기 위해 적용된다.
일부의 실시예에서, 챔버 1, 2 및 3으로의 레시피 (212) 의 적용 동안, 챔버 내의 압력, 챔버 내의 온도, 챔버로 제공된 RF 신호의 주파수 및 챔버의 하부 전극과 상부 전극 사이의 갭은 일정하게 유지되고, RF 신호의 전력은 5 메가와트에서 10 메가와트로, 15 메가와트로, 20 메가와트로 변동된다.
일부의 실시예에서, 챔버 1, 2 및 3 내에서 유지된 레시피 (212) 의 압력량은 임계치 th1 보다 작거나 임계치 th2 보다 크다.
압력, 전력, 온도, 주파수 및 갭의 값들은 예시적일 뿐이고 제한적으로 해석되지 않아야한다는 점에 주목해야한다. 예를 들어, 0.1 Torr 압력 대신, 0 내지 0.2 Torr 범위의 압력이 적용된다. 다른 예시로서, 5, 10, 15 및 20 메가와트 대신, 전력은 2 메가 와트로부터 6 메가 와트로, 10메가와트로, 14 메가와트로 변동된다. 또 다른 예시로서, 1 내지 50 메가와트 범위의 임의의 전력량이 적용된다. 다른 예시로서, 28 MHz 주파수 대신, 27 MHz 주파수가 적용된다. 또 다른 예시로서, 2 MHz RF 생성기가 사용되는 경우, 2 또는 3 MHz 주파수가 적용될 수도 있다. 다른 예시로서, 60 MHz RF 생성기가 사용되는 경우, 55 MHz 내지 65 MHz 사이의 주파수가 적용된다. 다른 예시로서, 2 센티미터 대신, 1과 3 센티미터 사이의 갭이 유지된다.
도 8은 인트라-챔버 정합을 위한 레시피 (212) 의 실시예를 도시한다. 레시피 (212) 에 나타난 바와 같이, 동일한 레시피 (212) 가 챔버 1의 조건 1, 조건 2, 조건 3 동안 챔버 1에 적용된다. 예를 들어, 호스트 시스템 (128) 의 프로세서는, 챔버 1의 조건 1, 2 및 3 동안 챔버에 동일한 레시피 (212) 를 적용하기 위해, 조건 1 및 2 동안 챔버 1 내의 압력, 조건 1 및 2 동안 챔버 1에 제공된 RF 신호의 전력, 조건 1 및 2 동안 챔버 1 내의 온도, RF 신호의 주파수 및 조건 1 및 2 동안 챔버 1의 하부 전극과 상부 전극 사이의 갭을 제어한다. 다른 예시로서, 레시피 (212) 는 점선 (107) (도 3) 에 도시된 관계와 실선 (105) 에 도시된 관계를 생성하는데 적용된다.
일부의 실시예에서, 조건 1, 2 및 3 동안 챔버 1로의 레시피 (212) 의 적용 도안, 챔버 1 내의 압력, 챔버 1 내의 온도, 챔버 1에 제공된 RF 신호의 주파수 및 챔버 1의 하부전극과 상부 전극 사이의 갭은 일정하게 유지되고, RF 신호의 전력은 5 메가와트로부터 10 메가와트로, 15 메가와트로, 20 메가와트로 변동된다.
다양한 실시예에서, 조건 3은 조건 2가 나타난 후에 나타난다. 다양한 실시예에서, 웨이퍼 (154) (도 3, 4a 및 5) 상의 처리, 예컨대 에칭, 증착, 세정, 가스의 공급 등이 조건 2 동안 플라즈마 챔버 내에서 수행된 후, 플라즈마 챔버는 조건 3을 달성한다.
도 9a는 3개의 챔버 사이의 관계 사이의 차이를 도시하는데 사용되는 그래프 (220) 이다. 그래프 (220) 에 도시된 바와 같이, 3개의 선 (222, 224 및 226) 이 나타내어진다. 선 (222, 224, 및 226) 각각은 플라즈마 챔버의 ESC에서 측정된 전류의 제곱과 순방향 전력 사이의 관계를 도시한다. 선 (222) 은 플라즈마 챔버에 대한 관계를 도시하고, 선 (224) 는 플라즈마 챔버 2에 대한 관계를 도시하고, 선 (226) 은 챔버 3일 수도 있는 금 챔버에 대한 관계를 도시한다. 도시된 바와 같이, 선 (222) 의 기울기는 선 (224) 의 기울기와는 상이하다. 또한, 선 (224) 의 기울기는 선 (222 및 226) 의 기울기들과는 상이하다.
금 챔버는 챔버 1 및 2 보다 더 높은 수율을 제공한다. 선 (222 및 224) 에 의해 도시되는 관계는 선 (226) 에 의해 도시되는 관계를 달성하도록 제어된다.
도 9b는 본 개시에서 설명된 몇몇의 실시예를 적용한 후 3개의 플라즈마 챔버 사이의 관계에서 유사점을 도시하는데 사용되는 그래프 (230) 이다. 그래프 (230) 에 도시된 바와 같이, 3개의 선 (232, 234 및 236) 이 나타내어 진다. 선 (232, 234 및 236) 각각은 플라즈마 챔버 의 ESC에서 측정된 전류의 제곱과 순방향 전력 예컨대 공급된 전력 사이의 관계를 도시한다. 선 (232) 은 플라즈마 챔버 1에 대한 관계를 도시하고, 선 (234) 은 플라즈마 챔버 2에 대한 관계를 도시하고, 선 (236) 은 챔버 3일 수도 있는 금 챔버에 대한 관계를 도시한다.
선 (236) 은 선 (236) 이 점선인 점을 제외하고 선 (226) (도 9a) 과 동일하다.
도시된 바와 같이, 선 (232, 234 및 236) 의 기울기는 실질적으로 동일하거나, 동일하다.
전술된 동작들이 평행 플레이트 플라즈마 챔버, 예컨대 용량성 커플링된 플라즈마 챔버 등을 참조하여 설명되었지만, 일부의 실시예에서, 전술된 동작들은 다른 타입의 플라즈마 챔버들, 예컨대 ICP 반응기, TCP 반응기, 전도체 툴, 유전체 툴, ECR 반응기를 포함하는 플라즈마 챔버 등에 적용된다는 점이 주목되어야 한다. 예를 들어, x MHz RF 생성기 및 y MHz RF 생성기는 ICP 플라즈마 챔버 내의 인덕터와 커플링된다.
또한, 위의 동작들이 호스트 시스템 (128) (도 3, 4a 및 5) 의 프로세서에 의해 수행된다고 설명된다 하더라도, 일부의 실시예에서 동작들은 호스트 시스템 (128) 의 하나 이상의 프로세서 또는 다중의 호스트 시스템의 다수의 프로세서에 의해 수행될 수도 있다는 점이 주목되어야 한다.
전술된 실시예가 ESC의 하부 전극으로 RF 신호가 제공되고, 상부 전극 (158) (도 3, 4a 및 5) 를 접지시키는 것과 관련하더라도, 일부의 실시예에서, RF 신호는 하부 전극이 접지되는 동안 상부 전극 (158) 으로 제공된다는 점이 주목되어야 한다.
또한, 전술된 실시예들이 ESC를 사용하여 설명되었더라도, 다른 타입의 척, 예컨대 자성의 척 등이 사용될 수도 있다는 점이 주목되어야한다.
본 명세서에서 설명된 실시예들은 핸드-헬드 하드웨어 유닛, 마이크로 프로세서 시스템, 마이크로프로세서-기판 또는 프로그램 가능한 소비자 전자제품, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시예들은 또한 작업들이 네트워크를 통해 링크된 원격 처리 하드웨어 유닛들에 의해 수행되는 분배된 컴퓨팅 환경에서 수행될 수 있다.
위의 실시예들을 염두에 두면서, 본 실시예들이 컴퓨터 시스템에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용할 수 있다는 점이 이해되어야 한다. 이러한 동작들은 물리적인 양의 물리적 변형을 요구하는 것들이다. 실시예들의 일부를 형성하는 본 명세서에서 설명된 임의의 동작들은 유용한 머신 동작들이다. 실시예들은 또한 하드웨어 유닛 또는 이러한 동작들을 수행하기 위한 장치와 연관된다. 장치는 특별한 목적의 컴퓨터로 특별히 해석될 수도 있다. 특별한 목적의 컴퓨터로 정의되는 경우, 컴퓨터는 또한 그 특별한 목적을 수행할 수 있는 동시에, 그 특별한 목적의 일부가 아닌 프로세싱, 프로그램 실행 또는 루틴을 수행할 수 있다. 일부의 실시예에서, 동작들은 선택적으로 활성화되거나 네트워크를 통해 획득되거나 컴퓨터 메모리, 캐시에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 구성되는 범용 컴퓨터에 의해 처리될 수 있다. 데이터가 네트워크를 통해 획득되는 경우, 데이터는 네트워크, 예를 들어 컴퓨팅 자원의 클라우드 상에서 다른 컴퓨터들에 의해 처리될 수도 있다.
하나 이상의 실시예들은 또한 비-일시적인 컴퓨터-판독가능 매체 상에 컴퓨터-판독가능 코드로 제조될 수 있다. 비-일시적인 컴퓨터-판독가능 매체는 이후 컴퓨터 시스템에 의해 판독 도리 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 하드웨어 유닛이다. 비-일시적인 컴퓨터-판독가능 매체의 예시는 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM (compact disc-ROM), CD-R (CD-recordables), CD-RW (CD-rewritable), 자석 테이프 및 다른 광학의 및 비-광학의 데이터 저장 하드웨어 유닛을 포함한다. 비-일시적인 컴퓨터-판독가능 매체는, 컴퓨터-판독가능 코드가 저장되고 분배된 방식으로 실행되도록, 네트워크-커플링된 컴퓨터 시스템을 통해 분배된 컴퓨터-판독가능 무형의 매체를 포함할 수 있다.
위의 방법 동작들이 특정한 순서로 설명되지만, 설명되는 동작들의 처리가 원하는 방향으로 수행되는 이상, 다른 하우스키핑 동작들이 동작들 사이에서 수행될 수도 있고, 또는 동작들이 살짝 상이한 시간에 발생하도록 조정될 수도 있으며, 또는 동작들이 처리와 연관된 다양한 인터벌들에서 처리 동작들의 발생을 허용하는 시스템에서 분배될 수도 있다는 점이 이해되어야 한다.
임의의 실시예로부터의 하나 이상의 특징들은 본 개시에서 설명되는 다양한 실시예에서 설명되는 범위로부터 멀어지지 않으면서 임의의 다른 실시예의 하나 이상의 특징들과 조합될 수도 있다.
전의 실시예들이 이해의 명확성을 위해 일부 구체적하게 설명되었으나, 특정한 변화나 변형이 첨부된 청구항의 범위 내에서 실시될 수 있다는 점이 명백할 것이다. 따라서, 본 실시예들은 예시적이며 제한적이지 않는 것으로 고려될 것이며, 실시예들은 본 명세서에 주어진 세부사항들로 제한되지 않을 것이며, 첨부된 청구항들의 범위 및 균등물 내에서 변형될 수도 있다.

Claims (20)

  1. 챔버-대 챔버 정합을 수행하기 위한 방법으로서,
    변수를 측정하도록 제 1 플라즈마 챔버 내에서 제 1 테스트를 실행하는 단계;
    상기 변수를 측정하도록 제 2 플라즈마 챔버 내에서 제 2 테스트를 실행하는 단계로서, 상기 제 1 테스트와 상기 제 2 테스트는 하나의 레시피에 기초하여 실행되는, 상기 제 2 테스트를 실행하는 단계;
    상기 제 1 테스트 동안 제공되는 전력과 상기 제 1 테스트로 측정된 상기 변수 사이의 제 1 관계를 결정하는 단계;
    상기 제 2 테스트 동안 제공된 전력과 상기 제 2 테스트로 측정된 상기 변수 사이의 제 2 관계를 결정하는 단계;
    상기 제 1 관계와 상기 제 2 관계에 기초하여 이어지는 처리 동작 동안 상기 제 2 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하는 단계를 포함하고,
    상기 전력 조정은 상기 제 2 플라즈마 챔버로 하여금 상기 제 1 플라즈마 챔버를 사용하여 결정된 처리 조건에서 상기 처리 동작을 수행하게 하는, 챔버-대-챔버 정합 수행 방법.
  2. 제1항에 있어서,
    상기 변수는 전력 또는 전압인, 챔버-대-챔버 정합 수행 방법.
  3. 제1항에 있어서,
    상기 변수는 척과 커플링된 프로브를 사용하여 상기 제 1 플라즈마 테스트 동안 측정되고, 상기 척은 상기 제1 플라즈마 챔버 내에 위치된, 챔버-대-챔버 정합 수행 방법.
  4. 제1항에 있어서,
    상기 변수는 척과 커플링된 프로브를 사용하여 상기 제 2 플라즈마 테스트 동안 측정되고, 상기 척은 상기 제 2 플라즈마 챔버 내에 위치된, 챔버-대-챔버 정합 수행 방법.
  5. 제1항에 있어서,
    상기 변수는 프로브를 사용하여 상기 제 1 플라즈마 테스트 동안 측정되고, 상기 프로브는 RF (radio frequency) 생성기와 웨이퍼 사이의 지점과 커플링되고, RF 생성기는 RF 케이블을 통해 임피던스 정합 회로와 커플링되고, 상기 임피던스 정합 회로는 RF 전송 라인을 통해 상기 제 1 플라즈마 챔버와 커플링되고, 상기 웨이퍼는 상기 척의 표면 상에 위치된, 챔버-대-챔버 정합 수행 방법.
  6. 제1항에 있어서,
    상기 레시피는 상기 제 1 플라즈마 챔버 내의 압력, 상기 제 1 플라즈마 챔버 내의 온도, 상기 제 1 플라즈마 챔버로 제공된 RF 신호의 전력, RF 신호의 주파수 및 상기 제 1 플라즈마 챔버의 하부 전극과 상기 제 1 플라즈마 챔버의 상부 전극 사이의 갭 (gap) 을 포함하는, 챔버-대-챔버 정합 수행 방법.
  7. 제1항에 있어서,
    상기 레시피는 상기 제 2 플라즈마 챔버 내의 압력, 상기 제 2 플라즈마 챔버 내의 온도, 상기 제 2 플라즈마 챔버로 제공된 RF 신호의 전력, RF 신호의 주파수 및 상기 제 2 플라즈마 챔버의 하부 전극과 상기 제 2 플라즈마 챔버의 상부 전극 사이의 갭을 포함하는, 챔버-대-챔버 정합 수행 방법.
  8. 제1항에 있어서,
    상기 제 1 관계는 직선인, 챔버-대-챔버 정합 수행 방법.
  9. 제1항에 있어서,
    상기 제 2 관계는 직선인, 챔버-대-챔버 정합 수행 방법.
  10. 제1항에 있어서,
    상기 전력 조정은 상기 제 1 테스트 동안 제공된 상기 전력과 상기 제 2 테스트 동안 제공된 상기 전력 사이의 차인, 챔버-대-챔버 정합 수행 방법.
  11. 제1항에 있어서,
    상기 이어지는 처리 동작은 상기 제 2 플라즈마 챔버 내에 위치된 웨이퍼의 세정, 증착 또는 에칭을 포함하는, 챔버-대-챔버 정합 수행 방법.
  12. 제1항에 있어서,
    상기 처리 조건은 상기 변수의 함수의 값을 포함하고, 상기 전력의 양이 상기 제 1 테스트 동안 상기 제 1 플라즈마 챔버로 제공되는 경우 상기 값이 달성되는, 챔버-대-챔버 정합 수행 방법.
  13. 제1항에 있어서,
    상기 제 1 플라즈마 챔버는 상기 제 2 플라즈마 챔버 보다 더 높은 수율을 갖는, 챔버-대-챔버 정합 수행 방법.
  14. 제1항에 있어서,
    상기 제 1 테스트 및 상기 제 2 테스트 각각은 무 플라즈마 테스트 (no plasma test) 를 포함하는, 챔버-대-챔버 정합 수행 방법.
  15. 제14항에 있어서,
    상기 무 플라즈마 테스트 동안, 상기 제 1 플라즈마 챔버 내의 압력량은 상기 제 1 플라즈마 챔버 내에서 플라즈마 스트라이킹 (striking) 을 회피하도록 제어되며, 상기 제 2 플라즈마 챔버 내의 압력량은 상기 제 2 플라즈마 챔버 내에서 플라즈마 스트라이킹을 회피하도록 제어되는, 챔버-대-챔버 정합 수행 방법.
  16. 인트라-챔버 정합을 수행하기 위한 방법으로서,
    플라즈마 챔버와 연관된 변수를 측정하기 위해 제 1 테스트를 실행하는 단계로서, 상기 플라즈마 챔버는 상기 제 1 테스트의 실행 동안 제 1 조건에 있는, 상기 제 1 테스트를 실행하는 단계;
    상기 플라즈마 챔버와 연관된 상기 변수를 측정하기 위해 제 2 테스트를 실행하는 단계로서, 상기 플라즈마 챔버는 상기 제 2 테스트의 실행 동안 제 2 조건에 있는, 상기 제 2 테스트를 실행하는 단계;
    상기 제 1 테스트를 사용하여 측정된 상기 변수와 상기 제 1 테스트 동안 제공된 전력 사이의 제 1 관계를 결정하는 단계;
    상기 제 2 테스트를 사용하여 측정된 상기 변수와 상기 제 2 테스트 동안 제공된 전력 사이의 제 2 관계를 결정하는 단계; 및
    상기 제 1 관계와 상기 제 2 관계에 기초하여 이어지는 처리 동작 동안 상기 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하는 단계를 포함하고,
    상기 전력 조정은 상기 플라즈마 챔버로 하여금 처리 조건에서 상기 처리 동작을 수행하게 하고, 상기 처리 조건은 상기 플라즈마 챔버가 상기 제 1 조건에 있는 경우 결정되는, 인트라-챔버 정합 수행 방법.
  17. 제16항에 있어서,
    상기 제 2 조건은 상기 제 1 조건의 발생 후에 맞추어 발생하는, 인트라-챔버 정합 수행 방법.
  18. 제16항에 있어서,
    상기 제 2 조건은 상기 플라즈마 챔버 내에 위치된 웨이퍼 상에서 처리를 수행한 후 발생하고, 상기 제 1 조건은 상기 처리를 수행하기 전에 발생하는, 인트라-챔버 정합 수행 방법.
  19. 챔버-대-챔버 정합을 수행하기 위한 플라즈마 시스템으로서,
    변수를 측정하기 위한 제 1 테스트를 실행하는데 사용되는 제 1 플라즈마 챔버;
    상기 제 1 플라즈마 챔버로 RF 신호를 통신하기 위한, 상기 플라즈마 챔버와 커플링된 RF (radio frequency) 전송 라인;
    상기 RF 전송 라인과 커플링된 임피던스 정합 회로;
    상기 RF 신호를 공급하기 위한, 상기 임피던스 정합 회로와 커플링된 RF 생성기;
    상기 변수를 측정하기 위한 제 2 테스트를 실행하는데 사용되는 제 2 플라즈마 챔버로서, 상기 제 1 테스트 및 상기 제 2 테스트는 하나의 레시피에 기초하여 실행되고, 상기 제 2 테스트는 상기 제 1 플라즈마 챔버를 상기 RF 전송 라인으로부터 디커플링 (decouple) 하고 상기 제 2 플라즈마 챔버를 상기 RF 전송 라인과 커플링한 후 실행되는, 상기 제 2 플라즈마 챔버; 및
    프로세서를 포함하는 호스트 시스템으로서, 상기 호스트 시스템은 상기 RF 생성기와 커플링되는, 상기 호스트 시스템을 포함하고,
    상기 프로세서는,
    상기 제 1 테스트로 측정된 상기 변수와 상기 제 1 테스트 동안 제공된 전력 사이의 제 1 관계를 결정하고,
    상기 제 2 테스트로 측정된 상기 변수와 상기 제 2 테스트 동안 제공된 전력 사이의 제 2 관계를 결정하고, 그리고
    상기 제 1 관계 및 상기 제 2 관계에 기초하여 이어지는 처리 동작 동안 상기 제 2 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하도록 구성되고,
    상기 전력 조정은 상기 제2 플라즈마 챔버로 하여금 상기 제 1 플라즈마 챔버를 사용하여 결정된 처리 조건에서 상기 처리 동작을 수행하게 하는, 플라즈마 시스템.
  20. 인트라-챔버 정합을 수행하기 위한 플라즈마 시스템으로서,
    변수를 측정하기 위한 제 1 테스트를 실행하는데 사용되는 플라즈마 챔버로서, 상기 플라즈마 챔버는 상기 제 1 테스트의 실행 동안 제 1 조건에 있는, 상기 플라즈마 챔버;
    상기 변수를 측정하기 위한 제 2 테스트를 실행하는데 사용되는 상기 플라즈마 챔버로서, 상기 플라즈마 챔버는 상기 제 2 테스트의 실행 동안 제 2 조건에 있는, 상기 플라즈마 챔버;
    상기 플라즈마 챔버로 RF 신호를 통신하기 위한, 상기 플라즈마 챔버와 커플링된 RF (radio frequency) 전송 라인;
    상기 RF 전송 라인과 커플링된 임피던스 정합 회로;
    상기 RF 신호를 공급하기 위한, 상기 임피던스 정합 회로와 커플링된 RF 생성기; 및
    프로세서를 포함하는 호스트 시스템으로서, 상기 호스트 시스템은 상기 RF 생성기와 커플링되는, 상기 프로세서를 포함하고,
    상기 프로세서는,
    상기 제 1 테스트를 사용하여 측정된 상기 변수와 상기 제 1 테스트 동안 제공된 전력 사이의 제 1 관계를 결정하고,
    상기 제 2 테스트를 사용하여 측정된 상기 변수와 상기 제 2 테스트 동안 제공된 전력 사이의 제 2 관계를 결정하고,
    상기 제 1 관계와 상기 제 2 관계에 기초하여 이어지는 처리 동작 동안 상기 플라즈마 챔버에 적용하기 위한 전력 조정을 식별하도록 구성되고,
    상기 전력 조정은 상기 플라즈마 챔버로 하여금 처리 조건에서 상기 처리 동작을 수행하게 하고, 상기 처리 조건은 상기 플라즈마 챔버가 상기 제 1 조건에 있는 경우 결정되는, 플라즈마 시스템.
KR1020140030237A 2013-03-14 2014-03-14 전력 제어 모드를 위한 챔버 정합 KR102223834B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361784086P 2013-03-14 2013-03-14
US61/784,086 2013-03-14
US13/901,509 2013-05-23
US13/901,509 US9119283B2 (en) 2013-03-14 2013-05-23 Chamber matching for power control mode

Publications (2)

Publication Number Publication Date
KR20140113526A true KR20140113526A (ko) 2014-09-24
KR102223834B1 KR102223834B1 (ko) 2021-03-05

Family

ID=51524564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140030237A KR102223834B1 (ko) 2013-03-14 2014-03-14 전력 제어 모드를 위한 챔버 정합

Country Status (4)

Country Link
US (1) US9119283B2 (ko)
JP (1) JP6404580B2 (ko)
KR (1) KR102223834B1 (ko)
TW (1) TWI609606B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9412670B2 (en) * 2013-05-23 2016-08-09 Lam Research Corporation System, method and apparatus for RF power compensation in plasma etch chamber
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US10622217B2 (en) 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US10607815B2 (en) * 2018-06-29 2020-03-31 Applied Materials, Inc. Methods and apparatuses for plasma chamber matching and fault identification
CN112017931B (zh) * 2019-05-30 2022-03-22 北京北方华创微电子装备有限公司 应用于等离子体系统的方法及相关等离子体系统
CN113113282B (zh) * 2021-04-01 2023-11-14 北京北方华创微电子装备有限公司 上电极电源功率调节方法、半导体工艺设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270581A (ja) * 2001-03-07 2002-09-20 Hitachi Ltd プラズマ処理装置及び処理方法
JP2005011858A (ja) * 2003-06-17 2005-01-13 Renesas Technology Corp プラズマを用いた半導体製造におけるμ波パワー設定方法並びに当該設定方法を適用した半導体装置の製造装置及び上記設定方法を用いた半導体装置の製造方法
US20060042753A1 (en) * 2004-08-26 2006-03-02 Scientific Systems Research Limited Method for transferring process control models between plasma processing chambers
JP2010062579A (ja) * 2002-09-26 2010-03-18 Lam Res Corp プラズマ処理システムをツールマッチングしかつトラブルシュートする方法

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5474548A (en) 1993-07-14 1995-12-12 Knopp; Carl F. Method of establishing a unique machine independent reference frame for the eye
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) * 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
AU2002354459A1 (en) 2001-12-10 2003-07-09 Tokyo Electron Limited High-frequency power source and its control method, and plasma processor
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
WO2004003969A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) * 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP5246836B2 (ja) * 2007-01-24 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置用のプロセス性能検査方法及び装置
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
WO2009140371A2 (en) 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
JP2010016124A (ja) * 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8103492B2 (en) * 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
JP2012503342A (ja) 2008-09-22 2012-02-02 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造のエッチングに適したエッチングリアクタ
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
TWI531023B (zh) 2009-11-19 2016-04-21 蘭姆研究公司 電漿處理系統之控制方法及設備
JP2012015307A (ja) * 2010-06-30 2012-01-19 Toshiba Corp 半導体装置の製造方法、電源回路、及びプラズマ処理装置
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) * 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
JP2012216629A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理装置におけるマイクロ波の実効パワーのずれ量検出方法及びそのプラズマ処理装置
JP5740246B2 (ja) * 2011-08-15 2015-06-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270581A (ja) * 2001-03-07 2002-09-20 Hitachi Ltd プラズマ処理装置及び処理方法
JP2010062579A (ja) * 2002-09-26 2010-03-18 Lam Res Corp プラズマ処理システムをツールマッチングしかつトラブルシュートする方法
JP2005011858A (ja) * 2003-06-17 2005-01-13 Renesas Technology Corp プラズマを用いた半導体製造におけるμ波パワー設定方法並びに当該設定方法を適用した半導体装置の製造装置及び上記設定方法を用いた半導体装置の製造方法
US20060042753A1 (en) * 2004-08-26 2006-03-02 Scientific Systems Research Limited Method for transferring process control models between plasma processing chambers

Also Published As

Publication number Publication date
US20140265851A1 (en) 2014-09-18
JP6404580B2 (ja) 2018-10-10
KR102223834B1 (ko) 2021-03-05
TW201503764A (zh) 2015-01-16
TWI609606B (zh) 2017-12-21
US9119283B2 (en) 2015-08-25
JP2014197676A (ja) 2014-10-16

Similar Documents

Publication Publication Date Title
KR102223834B1 (ko) 전력 제어 모드를 위한 챔버 정합
JP6512962B2 (ja) プラズマ処理装置
JP4455887B2 (ja) 電気的特性を利用して、プラズマ反応炉内の膜の状態を判断するシステムおよび方法
KR102265231B1 (ko) 플라즈마 처리 장치
JP2023181326A (ja) 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US20170084432A1 (en) Multiple control modes
KR102282713B1 (ko) 인-챔버 및 챔버-투-챔버 매칭을 위한 에칭 레이트 모델링 및 그 사용
US9107284B2 (en) Chamber matching using voltage control mode
US9530620B2 (en) Dual control modes
US11929235B2 (en) Systems and methods for tuning a MHz RF generator within a cycle of operation of a kHZ RF generator
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
JP2015029093A5 (ko)
US20230298857A1 (en) Systems and Methods for Extracting Process Control Information from Radiofrequency Supply System of Plasma Processing System
US20190267216A1 (en) Microwave output device and plasma processing apparatus
TWI844155B (zh) 用於使用無感測器rf阻抗匹配網路處理基板的方法與系統及具有儲存在其上的施行此方法的指令之非暫態電腦可讀取儲存媒體
KR20230164552A (ko) 플라즈마 시스 (sheath) 특성을 제어하기 위한 시스템들 및 방법들
KR20240038999A (ko) 구형 (square-shaped) 펄스 신호들을 사용하기 위한 플라즈마 시스템들 및 방법들
KR20230114184A (ko) 저 주파수 RF 생성기 및 연관된 정전 척 (electrostatic chuck)
JP2003332319A (ja) プラズマ処理装置及び処理方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant