JP2013175726A - ENHANCEMENT MODE GaN HEMT DEVICE WITH GATE SPACER AND METHOD FOR FABRICATING THE SAME - Google Patents

ENHANCEMENT MODE GaN HEMT DEVICE WITH GATE SPACER AND METHOD FOR FABRICATING THE SAME Download PDF

Info

Publication number
JP2013175726A
JP2013175726A JP2013032851A JP2013032851A JP2013175726A JP 2013175726 A JP2013175726 A JP 2013175726A JP 2013032851 A JP2013032851 A JP 2013032851A JP 2013032851 A JP2013032851 A JP 2013032851A JP 2013175726 A JP2013175726 A JP 2013175726A
Authority
JP
Japan
Prior art keywords
gate
compound
iii
gate metal
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013032851A
Other languages
Japanese (ja)
Other versions
JP6147018B2 (en
Inventor
Lidow Alexander
リドー アレクサンダー
Robert Beach
ビーチ ロバート
Nakata Alana
ナカタ アラナ
Jianjun Cao
カオ ジャンジュン
guang yuan Zhao
ユアン ザオ グァン
Strittmatter Robert
ストリットマター ロバート
Fang Chang Lui
チャン リュイ ファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Efficient Power Conversion Corp
Original Assignee
Efficient Power Conversion Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/403,400 external-priority patent/US8823012B2/en
Application filed by Efficient Power Conversion Corp filed Critical Efficient Power Conversion Corp
Publication of JP2013175726A publication Critical patent/JP2013175726A/en
Application granted granted Critical
Publication of JP6147018B2 publication Critical patent/JP6147018B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates

Abstract

PROBLEM TO BE SOLVED: To provide enhancement-mode GaN devices having a gate spacer, a gate metal material, and a gate compound that are self-aligned, and a method for fabricating the same.SOLUTION: The gate spacer, the gate metal material, and the gate compound are patterned and etched using a single photo mask, which reduces manufacturing costs. An interface between the gate spacer and the gate compound has lower leakage than the interface between a dielectric film and the gate compound, thereby reducing gate leakage. In addition, an ohmic contact metal layer is used as a field plate to relieve the electric field at a doped group III-V compound corner on the drain contact side, which leads to lower gate leakage current and improved gate reliability.

Description

本発明は、エンハンスメントモード窒化ガリウム(GaN)高電子移動度トランジスタ(HEMT)デバイスの分野に関する。特に、本発明は、ゲートスペーサを備えたエンハンスメント型のHEMTデバイスを提供する方法及び装置に関する。   The present invention relates to the field of enhancement mode gallium nitride (GaN) high electron mobility transistor (HEMT) devices. In particular, the present invention relates to a method and apparatus for providing an enhancement type HEMT device with a gate spacer.

窒化ガリウム(ガリウムナイトライド;GaN)半導体デバイスは、大電流を担持し且つ高電圧に対応することができることにより、パワー半導体デバイスにとってますます望ましいものとなっている。これらのデバイスの開発は、概して、大電力/高周波用途に狙いを定めてきた。このような用途のために製造されるデバイスは、高電子移動度を示す一般的なデバイス構造に基づいており、ヘテロ接合電界効果トランジスタ(HFET)、高電子移動度トランジスタ(HEMT)、又は変調ドープ電界効果トランジスタ(MODFET)のように様々に呼ばれている。   Gallium nitride (GaN) semiconductor devices are becoming increasingly desirable for power semiconductor devices because of their ability to carry high currents and handle high voltages. The development of these devices has generally been aimed at high power / high frequency applications. Devices manufactured for such applications are based on common device structures that exhibit high electron mobility, such as heterojunction field effect transistors (HFETs), high electron mobility transistors (HEMTs), or modulation doping. It is called variously like a field effect transistor (MODFET).

GaN HEMTデバイスは、少なくとも2つの窒化物層を備えた窒化物半導体を含んでいる。半導体上あるいはバッファ層上に形成された異なる複数の材料により、これらの層は異なるバンドギャップを有するようにされる。隣接する窒化物層内の異なる材料はまた、分極を生じさせ、これが、2つの層のジャンクション(接合)付近の、具体的には、狭い方のバンドギャップを有する層内の、導電性の2次元電子ガス(2DEG)領域に寄与する。   A GaN HEMT device includes a nitride semiconductor with at least two nitride layers. Due to the different materials formed on the semiconductor or on the buffer layer, these layers have different band gaps. Different materials in adjacent nitride layers also cause polarization, which is a conductive 2 near the junction of the two layers, specifically in the layer with the narrower band gap. Contributes to the dimensional electron gas (2DEG) region.

分極を生じさせる窒化物層は典型的に、電荷がデバイス中を流れることを可能にする2DEGを含むGaNの層に隣接してAlGaNのバリア層を含む。このバリア層は、ドープされることもあるし、ドープされないこともある。ゼロゲートバイアスでゲート下に2DEG領域が延在するため、大抵の窒化物デバイスはノーマリーオンデバイスすなわちデプレッションモードデバイスである。ゲートの下でゼロの印加ゲートバイアスで2DEG領域が空乏化すなわち除去される場合には、デバイスはエンハンスメントモードデバイスとなることができる。エンハンスメントモードデバイスは、ノーマリーオフであり、それにより安全性が付加されるため、また、単純な低コストの駆動回路で制御することが容易であるため、望ましいものである。エンハンスメントモードデバイスは、電流を導通するために、ゲートに正バイアスが印加されることを必要とする。   The nitride layer that causes polarization typically includes an AlGaN barrier layer adjacent to a layer of GaN containing 2DEG that allows charge to flow through the device. This barrier layer may be doped or undoped. Most nitride devices are normally on or depletion mode devices because the 2DEG region extends under the gate with zero gate bias. If the 2DEG region is depleted or removed with zero applied gate bias under the gate, the device can be an enhancement mode device. Enhancement mode devices are desirable because they are normally off, which adds safety and is easy to control with a simple low cost drive circuit. Enhancement mode devices require that a positive bias be applied to the gate in order to conduct current.

従来のエンハンスメントモードGaNトランジスタにおいては、ゲートの金属(メタル)及びp型GaN材料若しくはp型AlGaN材料が、別々のフォトマスクを用いて画成される。例えば、図1(従来技術)は、ゲートメタル及びゲートpGaNが2つの異なるフォトマスクを用いて処理されたことを示している。図1は従来のエンハンスメントモードGaNトランジスタデバイス100を示しており、該デバイス100は、サファイア又はシリコンの何れかとし得る基板101と、複数の遷移層102と、アンドープのGaN材料103と、アンドープのAlGaN104と、ソースオーミックコンタクトメタル109と、ドレインオーミックコンタクトメタル110と、p型AlGaN材料又はp型GaN材料105と、高濃度ドープされたp型GaN材料106と、ゲートメタル111とを含んでいる。   In a conventional enhancement mode GaN transistor, the gate metal and the p-type GaN material or p-type AlGaN material are defined using separate photomasks. For example, FIG. 1 (prior art) shows that the gate metal and gate pGaN were processed using two different photomasks. FIG. 1 illustrates a conventional enhancement mode GaN transistor device 100 that includes a substrate 101, which can be either sapphire or silicon, a plurality of transition layers 102, an undoped GaN material 103, and an undoped AlGaN 104. Source ohmic contact metal 109, drain ohmic contact metal 110, p-type AlGaN material or p-type GaN material 105, heavily doped p-type GaN material 106, and gate metal 111.

図1に示すように、ゲートメタル、p型GaN材料又はp型AlGaN材料は、2つの別々のフォトマスクによって画成されている。第1のマスクは、ハードマスクをパターニングし且つp型GaNを選択的に成長させることによって、あるいはp型GaNのパターニング及びエッチングを行うことによって、の何れかでp型GaN又はp型AlGaNを形成するために使用される。第2のマスクは、ゲートメタルのパターニング及びリフトオフを行うことによって、あるいはゲートメタルのパターニング及びエッチングを行うことによって、の何れかでゲートメタルを形成するために使用される。これら2つのマスクプロセスは、フォト/エッチの最小CDより幅広のゲート長をもたらす。これは、高いゲート電荷、より広いセルピッチ、及びより高いRdson(“オン抵抗”)を生じさせる。従来の製造方法はまた、製造コストを増加させる。別の1つの欠点は、最も高い電界が、ドレインオーミックコンタクトメタルの方の、p型GaN材料又はp型AlGaN材料のゲートコーナー部に位置することである。この高電界は、大きなゲートリーク電流と高いゲート信頼性リスクとをもたらす。   As shown in FIG. 1, the gate metal, p-type GaN material or p-type AlGaN material is defined by two separate photomasks. The first mask forms p-type GaN or p-type AlGaN either by patterning a hard mask and selectively growing p-type GaN, or by patterning and etching p-type GaN. Used to do. The second mask is used to form the gate metal either by patterning and lifting off the gate metal or by patterning and etching the gate metal. These two mask processes result in a wider gate length than the photo / etch minimum CD. This results in high gate charge, wider cell pitch, and higher Rdson (“on resistance”). Conventional manufacturing methods also increase manufacturing costs. Another disadvantage is that the highest electric field is located at the gate corner of the p-type GaN material or p-type AlGaN material towards the drain ohmic contact metal. This high electric field results in a large gate leakage current and a high gate reliability risk.

従来技術の上述の欠点を回避するセルフアライン(自己整合)ゲートを備えたエンハンスメントモードGaNトランジスタ構造を提供することが望まれる。また、p型GaN又はAlGaNのゲートコーナーにおける高電界を緩和する機能を提供することが望まれる。   It would be desirable to provide an enhancement mode GaN transistor structure with a self-aligned gate that avoids the aforementioned drawbacks of the prior art. It is also desirable to provide a function to alleviate a high electric field at the gate corner of p-type GaN or AlGaN.

ここに開示する実施形態は、セルフアラインされたゲートスペーサ、ゲートメタル材料及びゲート化合物を有するエンハンスメントモードGaNトランジスタ、及びその製造方法に関する。これらの材料が、単一のフォトマスクを用いてパターニング及びエッチングされ、それにより製造コストが低減される。ゲートスペーサとゲート化合物との界面は、誘電体膜とゲート化合物との界面より低いリークを有し、それによりゲートリークが低減される。さらに、オーミックコンタクトメタル層が、ドレインコンタクト側のドープトIII−V族化合物のコーナー部における電界を緩和するフィールドプレートとして使用され、より低いゲートリーク電流と向上されたゲート信頼性とがもたらされる。   Embodiments disclosed herein relate to an enhancement mode GaN transistor having a self-aligned gate spacer, a gate metal material and a gate compound, and a method for manufacturing the same. These materials are patterned and etched using a single photomask, thereby reducing manufacturing costs. The interface between the gate spacer and the gate compound has a lower leak than the interface between the dielectric film and the gate compound, thereby reducing the gate leak. Furthermore, the ohmic contact metal layer is used as a field plate to mitigate the electric field at the corner of the doped III-V compound on the drain contact side, resulting in lower gate leakage current and improved gate reliability.

従来のエンハンスメントモードGaNトランジスタを例示する断面図である。It is sectional drawing which illustrates the conventional enhancement mode GaN transistor. ここに記載する本発明の第1実施形態に従って形成される、ゲートスペーサを備えたエンハンスメントモードGaN HEMTデバイスを例示する図である。FIG. 3 illustrates an enhancement mode GaN HEMT device with gate spacers formed in accordance with the first embodiment of the invention described herein. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第1実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 1st Embodiment of this invention. 本発明の第2実施形態に従って形成される、ゲートスペーサを備えたエンハンスメントモードGaN HEMTデバイスを例示する図である。FIG. 6 illustrates an enhancement mode GaN HEMT device with gate spacers formed in accordance with a second embodiment of the present invention. 本発明の第2実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 2nd Embodiment of this invention. 本発明の第2実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 2nd Embodiment of this invention. 本発明の第2実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 2nd Embodiment of this invention. 本発明の第2実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 2nd Embodiment of this invention. 本発明の第2実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 2nd Embodiment of this invention. 本発明の第2実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 2nd Embodiment of this invention. 本発明の第2実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 2nd Embodiment of this invention. ここに記載する本発明の第3実施形態に従って形成される、ゲートスペーサを備えたエンハンスメントモードGaN HEMTデバイスを例示する図である。FIG. 6 illustrates an enhancement mode GaN HEMT device with gate spacers formed in accordance with the third embodiment of the invention described herein. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. 本発明の第3実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 3rd Embodiment of this invention. ここに記載する本発明の第4実施形態に従って形成される、ゲートスペーサを備えたエンハンスメントモードGaN HEMTデバイスを例示する図である。FIG. 6 illustrates an enhancement mode GaN HEMT device with gate spacers formed in accordance with the fourth embodiment of the invention described herein. 本発明の第4実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 4th Embodiment of this invention. 本発明の第4実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 4th Embodiment of this invention. 本発明の第4実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 4th Embodiment of this invention. 本発明の第4実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 4th Embodiment of this invention. 本発明の第4実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 4th Embodiment of this invention. 本発明の第4実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 4th Embodiment of this invention. 本発明の第4実施形態に従ったエンハンスメントモードGaN HEMTデバイスの形成法を模式的に示す図である。It is a figure which shows typically the formation method of the enhancement mode GaN HEMT device according to 4th Embodiment of this invention.

以下の詳細な説明においては、特定の実施形態を参照する。これらの実施形態は、当業者がこれらの実施形態を実施することができるよう、十分に詳細に説明される。理解されるように、その他の実施形態も用いられることができ、また、様々な構造的、論理的及び電気的な変更が為され得る。   In the following detailed description, reference is made to specific embodiments. These embodiments are described in sufficient detail to enable those skilled in the art to practice these embodiments. As will be appreciated, other embodiments may be used and various structural, logical and electrical changes may be made.

本発明は、ゲートスペーサ、ゲートメタル材料及びゲート化合物が自己整合されるエンハンスメントモードGaN HEMTデバイス、及びそのようなデバイスを製造する方法に関する。これらの材料が単一のフォトマスクを用いてパターニング及びエッチングされ、それにより製造コストが低減される。また、ゲートスペーサ21とゲート化合物との界面は、誘電体膜とゲート化合物との界面より低いリークを有し、それによりゲートリークが低減される。さらに、オーミックコンタクトメタル層が、ドレインコンタクト側のドープトIII−V族化合物のコーナー部における電界を緩和するフィールドプレートとして使用され、より低いゲートリーク電流と向上されたゲート信頼性とがもたらされる。ソース電位にあるフィールドプレートが、ゲートをドレインバイアスから遮蔽(シールド)する。ゲートドレイン電荷(Qgd)が減少する。   The present invention relates to enhancement mode GaN HEMT devices in which gate spacers, gate metal materials and gate compounds are self-aligned, and methods of manufacturing such devices. These materials are patterned and etched using a single photomask, thereby reducing manufacturing costs. Further, the interface between the gate spacer 21 and the gate compound has a lower leak than the interface between the dielectric film and the gate compound, thereby reducing the gate leak. Furthermore, the ohmic contact metal layer is used as a field plate to mitigate the electric field at the corner of the doped III-V compound on the drain contact side, resulting in lower gate leakage current and improved gate reliability. A field plate at the source potential shields the gate from drain bias. The gate drain charge (Qgd) decreases.

図2及び3A−3Hを参照して、ゲートスペーサと自己整合(セルフアライン)ゲートとを有するエンハンスメントモードGaN HEMTデバイスの形成に関して第1実施形態を説明する。図面全体を通して、一貫して、同様の部分には似通った参照符号を使用する。図2は、図3A−3Hに関して後述する方法によって形成される、自己整合されたゲートメタル17及びIII−V族ゲート化合物15を有するエンハンスメントモードGaN HEMTデバイス200を示している。デバイス200は、シリコン基板11、バッファ材料12、アンドープのGaNバッファ材料13、アンドープのAlGaNバリア材料14、III−V族ゲート化合物15、ゲートメタル17、誘電体材料18、ドレインオーミックコンタクト19、ソースオーミックコンタクト20、及び誘電体スペーサ21を含んでいる。ソースメタル20はまた、ドレインコンタクトに向かってゲートの上方を延在するフィールドプレートとしても作用する。   With reference to FIGS. 2 and 3A-3H, a first embodiment will be described with respect to forming an enhancement mode GaN HEMT device having a gate spacer and a self-aligned gate. Throughout the drawings, similar reference numerals are used for similar parts throughout. FIG. 2 shows an enhancement mode GaN HEMT device 200 having a self-aligned gate metal 17 and a III-V gate compound 15 formed by the method described below with respect to FIGS. 3A-3H. The device 200 includes a silicon substrate 11, a buffer material 12, an undoped GaN buffer material 13, an undoped AlGaN barrier material 14, a group III-V gate compound 15, a gate metal 17, a dielectric material 18, a drain ohmic contact 19, and a source ohmic. A contact 20 and a dielectric spacer 21 are included. The source metal 20 also acts as a field plate that extends above the gate toward the drain contact.

図3Aは、GaN HEMTデバイス200aのEPI(エピ)構造を示しており、該EPI構造は、下から上に、シリコン基板11、バッファ材料12、アンドープのGaNバッファ材料13、アンドープのAlGaNバリア材料14、及びIII−V族ゲート化合物材料15を含んでいる。アンドープのGaNバッファ材料13は好ましくは、約0.5μmから約5μmの厚さを有する。アンドープのAlGaNバリア材料14は好ましくは、約50Åから約300Åの厚さを有する。アンドープのAlGaNバリア材料14は、AlGaN材料の金属含有物のうちの約12%から28%だけAlを含む。III−V族ゲート化合物15は、約500Åから約2000Åの厚さを有し得る。また、III−V族ゲート化合物15は、約1018原子/cmから約1020原子/cmの間のp型ドーピング濃度を有し得る。 FIG. 3A shows an EPI (epi) structure of a GaN HEMT device 200a, from bottom to top, the silicon substrate 11, buffer material 12, undoped GaN buffer material 13, and undoped AlGaN barrier material 14. , And III-V gate compound material 15. The undoped GaN buffer material 13 preferably has a thickness of about 0.5 μm to about 5 μm. The undoped AlGaN barrier material 14 preferably has a thickness of about 50 to about 300 inches. The undoped AlGaN barrier material 14 includes Al from about 12% to 28% of the metal content of the AlGaN material. The III-V gate compound 15 may have a thickness of about 500 to about 2000 inches. The III-V gate compound 15 may also have a p-type doping concentration between about 10 18 atoms / cm 3 and about 10 20 atoms / cm 3 .

図3Bに示すように、図3Aに示したEPI構造上にゲートメタル17が堆積される。ゲートメタル17は、代替的に、EPI成長の最後に成長されてもよい。ゲートメタル17は、例えばタンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、パラジウム(Pd)、タングステン(W)、タングステンシリサイド(WSi)といった、高融点金属又はその化合物からなり得る。 As shown in FIG. 3B, gate metal 17 is deposited on the EPI structure shown in FIG. 3A. The gate metal 17 may alternatively be grown at the end of the EPI growth. The gate metal 17 can be made of a refractory metal such as tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), palladium (Pd), tungsten (W), tungsten silicide (WSi 2 ), or a compound thereof. .

その後、単一のフォトマスクを用いて、ゲートメタル17がパターニング及びエッチングされ、図3Cに示すスタック構造が得られる。ゲートメタル17は、例えばプラズマエッチングといった既知の技術によってエッチングされ、その後、フォトレジストの剥離が行われる。   Thereafter, the gate metal 17 is patterned and etched using a single photomask to obtain the stack structure shown in FIG. 3C. The gate metal 17 is etched by a known technique such as plasma etching, and then the photoresist is peeled off.

続いて図3Dを参照するに、図3Cの構造上に、例えば酸化シリコン(SiO)又はプラズマ化学気相成長(PECVD)による窒化シリコン(Si)などの誘電体材料21が堆積される。誘電体材料21の堆積後、エッチバックプロセスによる誘電体材料21のパターニング及びエッチングが行われ、ゲートメタル17の側壁にスペーサ21が得られる(図3Eに示す)。 3D, a dielectric material 21 such as silicon oxide (SiO 2 ) or plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si 3 N 4 ) is deposited on the structure of FIG. 3C. The After the dielectric material 21 is deposited, the dielectric material 21 is patterned and etched by an etch back process to obtain a spacer 21 on the side wall of the gate metal 17 (shown in FIG. 3E).

続いて図3Fを参照するに、ゲートメタル17及びスペーサ21をハードマスクとして用いてIII−V族ゲート化合物15のエッチングが実行される。そして、図3Fの構造上に、例えばSiなどの誘電体材料18が堆積される。誘電体材料18の堆積後、コンタクト用フォトマスクを用いて誘電体材料18がエッチングされ、次いでフォトレジストの剥離が行われて、図3Gに示す構造が形成される。 Subsequently, referring to FIG. 3F, the III-V gate compound 15 is etched using the gate metal 17 and the spacer 21 as a hard mask. Then, a dielectric material 18 such as Si 3 N 4 is deposited on the structure of FIG. 3F. After the dielectric material 18 is deposited, the dielectric material 18 is etched using a contact photomask, and then the photoresist is stripped to form the structure shown in FIG. 3G.

図3Gの構造上に、オーミックコンタクトメタルが堆積される。オーミックコンタクトメタルは、チタン(Ti)、アルミニウム(Al)及びキャップメタルのスタック(積層体)からなり得る。オーミックメタルの堆積後、メタル用マスクを用いてオーミックコンタクトメタルがパターニング及びエッチングされて、図3Hに示すようなドレインオーミックコンタクト19及びソースオーミックコンタクト20が得られる。AlGaN/GaN 2DEGへのオーミックコンタクトを形成するために、高速熱アニール(RTA)が実行される。ソースオーミックコンタクトメタル20は、ゲートの上方にも設けられ、フィールドプレートとして機能する。これは、ドレインオーミックコンタクト19に近い側のIII−V族ゲート化合物15のコーナー部における電界を低減する。   On the structure of FIG. 3G, ohmic contact metal is deposited. The ohmic contact metal may be made of a stack (stacked body) of titanium (Ti), aluminum (Al), and cap metal. After the ohmic metal is deposited, the ohmic contact metal is patterned and etched using a metal mask to obtain a drain ohmic contact 19 and a source ohmic contact 20 as shown in FIG. 3H. Rapid thermal annealing (RTA) is performed to form ohmic contacts to the AlGaN / GaN 2DEG. The source ohmic contact metal 20 is also provided above the gate and functions as a field plate. This reduces the electric field at the corner of the group III-V gate compound 15 on the side close to the drain ohmic contact 19.

上述の方法によれば、ゲートメタル17がパターニング及びエッチングされる。その後、ゲートメタル17の側壁に誘電体スペーサ21が形成される。そして、ゲートメタル17及びスペーサ21をハードマスクとして用いてIII−V族ゲート化合物がエッチングされる。ゲートメタル17、スペーサ21及びゲート化合物15は、単一のフォトマスクの後に形成され、故に、自動的にセルフアラインされる。オーミックコンタクトメタル19及び20は、Ti、Al及びキャップメタルのスタックからなる。ソースメタル20は、ゲート上方に及び、フィールドプレートとして作用する。これは、ドレイン側のゲートコーナーにおける電界を低減する。ソースオーミックコンタクトメタル20が、ドレインオーミックコンタクト19側のIII−V族ゲートのコーナー部における電界を緩和するフィールドプレートとして使用されるので、より低いゲートリーク電流と向上されたゲート信頼性とが達成される。さらに、ソース電位にあるフィールドプレートがゲートをドレインバイアスから遮蔽するので、ゲート−ドレイン電荷(Qgd)が低減される。 According to the method described above, the gate metal 17 is patterned and etched. Thereafter, dielectric spacers 21 are formed on the side walls of the gate metal 17. Then, the III-V gate compound is etched using the gate metal 17 and the spacer 21 as a hard mask. The gate metal 17, spacer 21 and gate compound 15 are formed after a single photomask and are therefore automatically self-aligned. The ohmic contact metals 19 and 20 are made of a stack of Ti, Al, and cap metal. The source metal 20 extends above the gate and acts as a field plate. This reduces the electric field at the drain-side gate corner. Since the source ohmic contact metal 20 is used as a field plate that relaxes the electric field at the corner of the III-V gate on the drain ohmic contact 19 side, lower gate leakage current and improved gate reliability are achieved. The Furthermore, since the field plate at the source potential shields the gate from the drain bias, the gate-drain charge (Q gd ) is reduced.

次に、図4及び5A−5Gを参照して、本発明の第2実施形態を説明する。図4は、図5A−5Gに示す方法によって形成されるゲートスペーサ21を有するエンハンスメントモードGaN HEMTデバイス300を示している。得られるデバイス300は、自己整合されたゲートメタル17及びIII−V族ゲート化合物15を有することになる。図4のデバイス300は、それが含むスペーサ21がゲートメタル17の側壁のみでなくIII−V族ゲート化合物15の側壁にも形成されている点で、図2のデバイス200と異なる。   Next, a second embodiment of the present invention will be described with reference to FIGS. 4 and 5A-5G. FIG. 4 shows an enhancement mode GaN HEMT device 300 having a gate spacer 21 formed by the method shown in FIGS. 5A-5G. The resulting device 300 will have a self-aligned gate metal 17 and a III-V gate compound 15. The device 300 of FIG. 4 differs from the device 200 of FIG. 2 in that the spacers 21 it contains are formed not only on the side walls of the gate metal 17 but also on the side walls of the III-V gate compound 15.

図5Aは、EPI構造300aを示しており、該EPI構造は、下から上に、シリコン基板11、バッファ材料12、アンドープのGaNバッファ材料13、アンドープのAlGaNバリア材料14、及びIII−V族ゲート化合物材料15を含んでいる。これら様々な材料の寸法及び組成は、第1実施形態のそれらと同様である。   FIG. 5A shows an EPI structure 300a which, from bottom to top, includes a silicon substrate 11, a buffer material 12, an undoped GaN buffer material 13, an undoped AlGaN barrier material 14, and a III-V gate. Compound material 15 is included. The dimensions and composition of these various materials are the same as those of the first embodiment.

図5Bに示すように、第1実施形態においてのように、図5Aに示したEPI構造上にゲートメタル17が堆積あるいは成長される。   As shown in FIG. 5B, as in the first embodiment, the gate metal 17 is deposited or grown on the EPI structure shown in FIG. 5A.

その後、単一のフォトマスクを用いて、ゲートメタル17及びIII−V族ゲート化合物15のパターニング及びエッチングが行われ、図5Cに示す状態及び構造が得られる(フォトレジストの剥離が行われた後)。   Thereafter, patterning and etching of the gate metal 17 and the III-V gate compound 15 are performed using a single photomask, and the state and structure shown in FIG. 5C are obtained (after the photoresist is peeled off). ).

図5Dを参照するに、先と同様に、図5Cの構造上に例えば酸化シリコン(SiO)などの誘電体材料21が堆積される。誘電体材料21の堆積後、エッチバックプロセスが実行されて、誘電体材料21のパターニング及びエッチングが行われ、ゲートメタル17及びIII−V族ゲート化合物15の側壁にスペーサ21が得られる(図5Eに示す)。 Referring to FIG. 5D, as before, a dielectric material 21 such as silicon oxide (SiO 2 ) is deposited on the structure of FIG. 5C. After the dielectric material 21 is deposited, an etch back process is performed to pattern and etch the dielectric material 21 to obtain spacers 21 on the sidewalls of the gate metal 17 and III-V gate compound 15 (FIG. 5E). To show).

そして、図5Eの構造上に例えばSiなどの誘電体材料18が堆積される。誘電体材料18の堆積後、コンタクト用フォトマスクを用いて誘電体材料18がエッチングされ、次いでフォトレジストの剥離が行われて、図5Fに示す構造が形成される。 A dielectric material 18 such as Si 3 N 4 is then deposited on the structure of FIG. 5E. After the dielectric material 18 is deposited, the dielectric material 18 is etched using a contact photomask and then the photoresist is stripped to form the structure shown in FIG. 5F.

図5Fの構造上に、オーミックコンタクトメタルが堆積される。オーミックコンタクトメタルは、チタン(Ti)、アルミニウム(Al)及びキャップメタルのスタックからなり得る。オーミックメタルの堆積後、メタル用マスクを用いてオーミックコンタクトメタルがパターニング及びエッチングされて、図5Gに示すようなドレインオーミックコンタクト19及びソースオーミックコンタクト20が得られる。AlGaN/GaN 2DEGへのオーミックコンタクトを形成するために、高速熱アニール(RTA)が実行される。ソースオーミックコンタクトメタル20は、ゲートの上方にも設けられ、フィールドプレートとして機能する。これは、ドレインオーミックコンタクト19に近い側のIII−V族ゲート化合物15のコーナー部における電界を低減する。   On the structure of FIG. 5F, ohmic contact metal is deposited. The ohmic contact metal may consist of a stack of titanium (Ti), aluminum (Al) and cap metal. After the ohmic metal is deposited, the ohmic contact metal is patterned and etched using a metal mask to obtain a drain ohmic contact 19 and a source ohmic contact 20 as shown in FIG. 5G. Rapid thermal annealing (RTA) is performed to form ohmic contacts to the AlGaN / GaN 2DEG. The source ohmic contact metal 20 is also provided above the gate and functions as a field plate. This reduces the electric field at the corner of the group III-V gate compound 15 on the side close to the drain ohmic contact 19.

上述の方法によれば、ゲートメタル17及びIII−V族ゲート化合物15が、単一のフォトマスクを用いてパターニング及びエッチングされ、故に、セルフアラインされ、第1実施形態と同じ利点を有する。   According to the method described above, the gate metal 17 and the III-V gate compound 15 are patterned and etched using a single photomask, and thus are self-aligned, and have the same advantages as the first embodiment.

次に、図6及び7A−7Hを参照して、本発明の第3実施形態を説明する。図6は、図7A−7Hに関して説明する方法によって形成される、自己整合されたゲートメタル17及びIII−V族ゲート化合物15を有するエンハンスメントモードGaN HEMTデバイス400を示している。デバイス400は、シリコン基板11、バッファ材料12、アンドープのGaNバッファ材料13、アンドープのAlGaNバリア材料14、III−V族ゲート化合物15、ゲートメタル17、誘電体材料18、ドレインオーミックコンタクト19、ソースオーミックコンタクト20、誘電体スペーサ21、及び誘電体膜22を含んでいる。ソースメタル20はまた、ドレインコンタクトに向かってゲートの上方を延在するフィールドプレートとしても作用する。   Next, with reference to FIG. 6 and 7A-7H, 3rd Embodiment of this invention is described. FIG. 6 shows an enhancement mode GaN HEMT device 400 having a self-aligned gate metal 17 and a III-V gate compound 15 formed by the method described with respect to FIGS. 7A-7H. The device 400 includes a silicon substrate 11, a buffer material 12, an undoped GaN buffer material 13, an undoped AlGaN barrier material 14, a group III-V gate compound 15, a gate metal 17, a dielectric material 18, a drain ohmic contact 19, and a source ohmic. A contact 20, a dielectric spacer 21, and a dielectric film 22 are included. The source metal 20 also acts as a field plate that extends above the gate toward the drain contact.

図7Aは、GaN HEMTデバイス400aのEPI構造を示しており、該EPI構造は、下から上に、シリコン基板11、バッファ材料12、アンドープのGaNバッファ材料13、アンドープのAlGaNバリア材料14、及びIII−V族ゲート化合物材料15を含んでいる。アンドープのGaNバッファ材料13は好ましくは、約0.5μmから約5μmの厚さを有する。アンドープのAlGaNバリア材料14は好ましくは、約50Åから約300Åの厚さを有する。アンドープのAlGaNバリア材料14は、AlGaN材料の金属含有物のうちの約12%から28%だけAlを含む。III−V族ゲート化合物15は、約500Åから約2000Åの厚さを有し得る。また、III−V族ゲート化合物15は、約1018原子/cmから約1020原子/cmの間のp型ドーピング濃度を有し得る。 FIG. 7A shows the EPI structure of the GaN HEMT device 400a, which is from bottom to top, silicon substrate 11, buffer material 12, undoped GaN buffer material 13, undoped AlGaN barrier material 14, and III. -Group V gate compound material 15 is included. The undoped GaN buffer material 13 preferably has a thickness of about 0.5 μm to about 5 μm. The undoped AlGaN barrier material 14 preferably has a thickness of about 50 to about 300 inches. The undoped AlGaN barrier material 14 includes Al from about 12% to 28% of the metal content of the AlGaN material. The III-V gate compound 15 may have a thickness of about 500 to about 2000 inches. The III-V gate compound 15 may also have a p-type doping concentration between about 10 18 atoms / cm 3 and about 10 20 atoms / cm 3 .

図7Bに示すように、図7Aに示したEPI構造上にゲートメタル17が堆積される。ゲートメタル17は、代替的に、EPI成長の最後に成長されてもよい。ゲートメタル17は、例えばタンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、パラジウム(Pd)、タングステン(W)、タングステンシリサイド(WSi)といった、高融点金属又はその化合物からなり得る。ゲートメタル17上に、何らかの既知のプロセスにより、例えば酸化シリコン(SiO)などの誘電体膜22が堆積あるいは形成される。 As shown in FIG. 7B, a gate metal 17 is deposited on the EPI structure shown in FIG. 7A. The gate metal 17 may alternatively be grown at the end of the EPI growth. The gate metal 17 can be made of a refractory metal such as tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), palladium (Pd), tungsten (W), tungsten silicide (WSi 2 ), or a compound thereof. . A dielectric film 22 such as silicon oxide (SiO 2 ) is deposited or formed on the gate metal 17 by any known process.

その後、単一のフォトマスクを用いて、ゲートメタル17及び誘電体膜22がパターニング及びエッチングされ、図7Cに示すスタック構造が得られる。ゲートメタル17及び誘電体膜22は、例えばプラズマエッチングといった既知の技術によってエッチングされ、その後、フォトレジストの剥離が行われる。   Thereafter, the gate metal 17 and the dielectric film 22 are patterned and etched using a single photomask to obtain the stack structure shown in FIG. 7C. The gate metal 17 and the dielectric film 22 are etched by a known technique such as plasma etching, and then the photoresist is peeled off.

続いて図7Dを参照するに、図7Cの構造上に、例えば酸化シリコン(SiO)又はプラズマ化学気相成長(PECVD)による窒化シリコン(Si)などの誘電体材料21が堆積される。誘電体材料21の堆積後、エッチバックプロセスによる誘電体材料21のパターニング及びエッチングが行われ、ゲートメタル17及び誘電体膜22の側壁にスペーサ21が得られる(図7Eに示す)。 7D, a dielectric material 21 such as silicon oxide (SiO 2 ) or plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si 3 N 4 ) is deposited on the structure of FIG. 7C. The After the dielectric material 21 is deposited, the dielectric material 21 is patterned and etched by an etch-back process to obtain spacers 21 on the side walls of the gate metal 17 and the dielectric film 22 (shown in FIG. 7E).

続いて図7Fを参照するに、ゲートメタル17上の誘電体膜22とスペーサ21とをハードマスクとして用いてIII−V族ゲート化合物15のエッチングが実行される。そして、図7Fの構造上に、例えばSiなどの誘電体材料18が堆積される。誘電体材料18の堆積後、コンタクト用フォトマスクを用いて誘電体材料18がエッチングされ、次いでフォトレジストの剥離が行われて、図7Gに示す構造が形成される。 Subsequently, referring to FIG. 7F, the III-V gate compound 15 is etched using the dielectric film 22 on the gate metal 17 and the spacer 21 as a hard mask. Then, a dielectric material 18 such as Si 3 N 4 is deposited on the structure of FIG. 7F. After the dielectric material 18 is deposited, the dielectric material 18 is etched using a contact photomask and then the photoresist is stripped to form the structure shown in FIG. 7G.

図7Gの構造上に、オーミックコンタクトメタルが堆積される。オーミックコンタクトメタルは、チタン(Ti)、アルミニウム(Al)及びキャップメタルのスタックからなり得る。オーミックメタルの堆積後、メタル用マスクを用いてオーミックコンタクトメタルがパターニング及びエッチングされて、図7Hに示すようなドレインオーミックコンタクト19及びソースオーミックコンタクト20が得られる。AlGaN/GaN 2DEGへのオーミックコンタクトを形成するために、高速熱アニール(RTA)が実行される。ソースオーミックコンタクトメタル20は、ゲートの上方にも設けられ、フィールドプレートとして機能する。これは、ドレインオーミックコンタクト19に近い側のIII−V族ゲート化合物15のコーナー部における電界を低減する。   An ohmic contact metal is deposited on the structure of FIG. 7G. The ohmic contact metal may consist of a stack of titanium (Ti), aluminum (Al) and cap metal. After the ohmic metal is deposited, the ohmic contact metal is patterned and etched using a metal mask to obtain a drain ohmic contact 19 and a source ohmic contact 20 as shown in FIG. 7H. Rapid thermal annealing (RTA) is performed to form ohmic contacts to the AlGaN / GaN 2DEG. The source ohmic contact metal 20 is also provided above the gate and functions as a field plate. This reduces the electric field at the corner of the group III-V gate compound 15 on the side close to the drain ohmic contact 19.

次に、図8及び9A−9Gを参照して、本発明の第4実施形態を説明する。図8は、図9A−9Gに示す方法によって形成されるゲートスペーサ21を有するエンハンスメントモードGaN HEMTデバイス500を示している。得られるデバイス500は、自己整合されたゲートメタル17及びIII−V族ゲート化合物15を有することになる。デバイス500は、それが含むスペーサ21がゲートメタル17及び誘電体膜22の側壁のみでなくIII−V族ゲート化合物15の側壁にも形成されている点で、図6のデバイス400と異なる。   Next, with reference to FIG. 8 and 9A-9G, 4th Embodiment of this invention is described. FIG. 8 shows an enhancement mode GaN HEMT device 500 having a gate spacer 21 formed by the method shown in FIGS. 9A-9G. The resulting device 500 will have a self-aligned gate metal 17 and a III-V gate compound 15. The device 500 is different from the device 400 of FIG. 6 in that the spacer 21 included therein is formed not only on the side walls of the gate metal 17 and the dielectric film 22 but also on the side walls of the III-V gate compound 15.

図9Aは、EPI構造500aを示しており、該EPI構造は、下から上に、シリコン基板11、バッファ材料12、アンドープのGaNバッファ材料13、アンドープのAlGaNバリア材料14、及びIII−V族ゲート化合物材料15を含んでいる。これら様々な材料の寸法及び組成は、上述の第3実施形態のそれらと同様である。   FIG. 9A shows an EPI structure 500a, from bottom to top, which includes a silicon substrate 11, a buffer material 12, an undoped GaN buffer material 13, an undoped AlGaN barrier material 14, and a III-V gate. Compound material 15 is included. The dimensions and composition of these various materials are the same as those of the third embodiment described above.

図9Bに示すように、第3実施形態においてのように、図9Aに示したEPI構造上にゲートメタル17が堆積あるいは成長され、その後、ゲートメタル17上に誘電体膜22(例えばSiO)が形成される。 As shown in FIG. 9B, as in the third embodiment, the gate metal 17 is deposited or grown on the EPI structure shown in FIG. 9A, and then the dielectric film 22 (for example, SiO 2 ) is formed on the gate metal 17. Is formed.

その後、単一のフォトマスクを用いて、誘電体膜22、ゲートメタル17及びIII−V族ゲート化合物15のパターニング及びエッチングが行われ、図9Cに示す状態及び構造が得られる(フォトレジストの剥離が行われた後)。   Thereafter, patterning and etching of the dielectric film 22, the gate metal 17 and the III-V gate compound 15 are performed using a single photomask to obtain the state and structure shown in FIG. After is done).

図9Dを参照するに、第3実施形態と同様に、図9Cの構造上に例えば酸化シリコン(SiO)又はプラズマ化学気相成長(PECVD)による窒化シリコン(Si)などの誘電体材料21が堆積される。誘電体材料21の堆積後、エッチバックプロセスが実行されて、誘電体材料21のパターニング及びエッチングが行われ、誘電体膜22、ゲートメタル17及びIII−V族ゲート化合物15の側壁にスペーサ21が得られる(図9Eに示す)。 Referring to FIG. 9D, as in the third embodiment, a dielectric such as silicon oxide (SiO 2 ) or silicon nitride (Si 3 N 4 ) by plasma enhanced chemical vapor deposition (PECVD) is formed on the structure of FIG. 9C. Material 21 is deposited. After the dielectric material 21 is deposited, an etch back process is performed to pattern and etch the dielectric material 21, and spacers 21 are formed on the sidewalls of the dielectric film 22, the gate metal 17, and the III-V gate compound 15. Is obtained (shown in FIG. 9E).

そして、図9Eの構造上に例えばSiなどの誘電体材料18が堆積される。誘電体材料18の堆積後、コンタクト用フォトマスクを用いて誘電体材料18がエッチングされ、次いでフォトレジストの剥離が行われて、図9Fに示す構造が形成される。 Then, a dielectric material 18 such as Si 3 N 4 is deposited on the structure of FIG. 9E. After the dielectric material 18 is deposited, the dielectric material 18 is etched using a contact photomask and then the photoresist is stripped to form the structure shown in FIG. 9F.

図9Fの構造上に、オーミックコンタクトメタルが堆積される。オーミックコンタクトメタルは、チタン(Ti)、アルミニウム(Al)及びキャップメタルのスタックからなり得る。オーミックメタルの堆積後、メタル用マスクを用いてオーミックコンタクトメタルがパターニング及びエッチングされて、図9Gに示すようなドレインオーミックコンタクト19及びソースオーミックコンタクト20が得られる。AlGaN/GaN 2DEGへのオーミックコンタクトを形成するために、高速熱アニール(RTA)が実行される。ソースオーミックコンタクトメタル20は、ゲートの上方にも設けられ、フィールドプレートとして機能する。これは、ドレインオーミックコンタクト19に近い側のIII−V族ゲート化合物15のコーナー部における電界を低減する。   On the structure of FIG. 9F, ohmic contact metal is deposited. The ohmic contact metal may consist of a stack of titanium (Ti), aluminum (Al) and cap metal. After the ohmic metal is deposited, the ohmic contact metal is patterned and etched using a metal mask to obtain a drain ohmic contact 19 and a source ohmic contact 20 as shown in FIG. 9G. Rapid thermal annealing (RTA) is performed to form ohmic contacts to the AlGaN / GaN 2DEG. The source ohmic contact metal 20 is also provided above the gate and functions as a field plate. This reduces the electric field at the corner of the group III-V gate compound 15 on the side close to the drain ohmic contact 19.

上述の方法によれば、ゲートメタル17及びIII−V族ゲート化合物15が、単一のフォトマスクを用いてパターニング及びエッチングされ、故に、セルフアラインされ、第1乃至第3の実施形態と同じ利点を有する。   According to the above-described method, the gate metal 17 and the III-V gate compound 15 are patterned and etched using a single photomask, and thus are self-aligned, and have the same advantages as the first to third embodiments. Have

以上の説明及び図面は単に、ここに記載された特徴及び利点を達成する特定の実施形態の例示と見なされるべきものである。具体的なプロセス条件には変更及び代用が為され得る。従って、本発明の実施形態は、以上の説明及び図面によって限定されるものとして見なされるものではない。   The above description and drawings are merely to be regarded as illustrative of specific embodiments for achieving the features and advantages described herein. Specific process conditions can be changed and substituted. Accordingly, the embodiments of the invention are not to be considered as limited by the foregoing description and drawings.

Claims (19)

基板と、
前記基板上のバッファ材料と、
前記バッファ材料上のバリア材料と、
前記バリア材料上のゲートIII−V族化合物と、
前記ゲートIII−V族化合物上のゲートメタルと、
少なくとも前記ゲートメタルの側壁に形成されたスペーサ材料と、
を有するエンハンスメントモードGaNトランジスタ。
A substrate,
A buffer material on the substrate;
A barrier material on the buffer material;
A gate III-V compound on the barrier material;
A gate metal on the gate III-V compound;
A spacer material formed on at least the side wall of the gate metal;
An enhancement mode GaN transistor having:
前記ゲートIII−V族化合物及び前記ゲートメタルは、セルフアラインされるように単一のフォトマスクプロセスで形成される、請求項1に記載のトランジスタ。   The transistor of claim 1, wherein the gate III-V compound and the gate metal are formed by a single photomask process so as to be self-aligned. 前記バッファ材料はGaNを有する、請求項1に記載のトランジスタ。   The transistor of claim 1, wherein the buffer material comprises GaN. 前記バリア材料はAlGaNを有する、請求項1に記載のトランジスタ。   The transistor of claim 1, wherein the barrier material comprises AlGaN. 前記スペーサ材料は、前記ゲートメタル及び前記ゲートIII−V族化合物の側壁に形成されている、請求項1に記載のトランジスタ。   The transistor according to claim 1, wherein the spacer material is formed on sidewalls of the gate metal and the gate III-V compound. 前記ゲートメタル上の誘電体材料を更に有する請求項1に記載のトランジスタ。   The transistor of claim 1 further comprising a dielectric material on the gate metal. 前記スペーサ材料は前記誘電体材料の側壁にも形成されている、請求項6に記載のトランジスタ。   The transistor of claim 6, wherein the spacer material is also formed on a sidewall of the dielectric material. 前記スペーサ材料は、前記ゲートメタル、前記ゲートIII−V族化合物及び前記誘電体材料の側壁に形成されている、請求項6に記載のトランジスタ。   The transistor according to claim 6, wherein the spacer material is formed on a side wall of the gate metal, the gate III-V group compound, and the dielectric material. 前記スペーサ材料は酸化シリコン(SiO)を有する、請求項1に記載のトランジスタ。 The transistor of claim 1, wherein the spacer material comprises silicon oxide (SiO 2 ). 前記スペーサ材料は、プラズマ化学気相成長(PECVD)による窒化シリコン(Si)を有する、請求項1に記載のトランジスタ。 The transistor of claim 1, wherein the spacer material comprises silicon nitride (Si 3 N 4 ) by plasma enhanced chemical vapor deposition (PECVD). 前記ゲートメタルは、Ta、TaN、TiN、Pd、W、又はWSiなどの、1つ以上の高融点金属、金属化合物又は合金を含む、請求項1に記載のトランジスタ。   The transistor of claim 1, wherein the gate metal comprises one or more refractory metals, metal compounds or alloys, such as Ta, TaN, TiN, Pd, W, or WSi. エンハンスメントモードGaNトランジスタを製造する方法であって、
基板上にバッファ材料を形成し、
前記バッファ材料上にAlGaNバリアを形成し、
前記AlGaNバリア上にIII−V族化合物を形成し、
前記III−V族化合物上にゲートメタルを有するスタックを形成し、
少なくとも前記ゲートメタルスタックの側壁にスペーサ材料を形成し、
前記ゲートメタル及び前記スペーサ材料をマスクとして用いて、前記III−V族化合物をエッチングし、
誘電体層を堆積し、
ドレインコンタクト領域及びソースコンタクト領域を開口するよう、前記誘電体層をエッチングし、且つ
前記開口したドレインコンタクト領域及びソースコンタクト領域内に、オーミックドレインコンタクト及びオーミックソースコンタクトを形成する、
ことを有する方法。
A method of manufacturing an enhancement mode GaN transistor comprising:
Forming a buffer material on the substrate;
Forming an AlGaN barrier on the buffer material;
Forming a III-V compound on the AlGaN barrier;
Forming a stack having a gate metal on the III-V compound;
Forming a spacer material on at least the side wall of the gate metal stack;
Etching the III-V compound using the gate metal and the spacer material as a mask,
Deposit a dielectric layer,
Etching the dielectric layer to open a drain contact region and a source contact region, and forming an ohmic drain contact and an ohmic source contact in the opened drain contact region and source contact region;
A method that has that.
前記スペーサ材料は、前記ゲートメタルスタック及び前記III−V族化合物の側壁に形成される、請求項12に記載の方法。   The method of claim 12, wherein the spacer material is formed on sidewalls of the gate metal stack and the III-V compound. 各ゲートメタルスタック上に誘電体材料を形成することを更に有する請求項12に記載の方法。   The method of claim 12, further comprising forming a dielectric material on each gate metal stack. 前記スペーサ材料は前記誘電体材料の側壁にも形成される、請求項14に記載の方法。   The method of claim 14, wherein the spacer material is also formed on sidewalls of the dielectric material. 前記スペーサ材料は、前記ゲートメタルスタック、前記III−V族化合物及び前記誘電体材料の側壁に形成される、請求項14に記載の方法。   The method of claim 14, wherein the spacer material is formed on sidewalls of the gate metal stack, the III-V compound, and the dielectric material. 前記スペーサ材料は酸化シリコン(SiO)を有する、請求項12に記載の方法。 The method of claim 12, wherein the spacer material comprises silicon oxide (SiO 2 ). 前記スペーサ材料は、プラズマ化学気相成長(PECVD)による窒化シリコン(Si)を有する、請求項12に記載の方法。 The spacer material has a plasma chemical vapor deposition (PECVD) of silicon nitride (Si 3 N 4), The method of claim 12. 前記ゲートメタルは、Ta、TaN、TiN、Pd、W、又はWSiなどの、1つ以上の高融点金属、金属化合物又は合金を含む、請求項12に記載の方法。   The method of claim 12, wherein the gate metal comprises one or more refractory metals, metal compounds, or alloys, such as Ta, TaN, TiN, Pd, W, or WSi.
JP2013032851A 2012-02-23 2013-02-22 Enhancement mode GaN HEMT device with gate spacer and method of manufacturing the same Active JP6147018B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/403,400 US8823012B2 (en) 2009-04-08 2012-02-23 Enhancement mode GaN HEMT device with gate spacer and method for fabricating the same
US13/403,400 2012-02-23

Publications (2)

Publication Number Publication Date
JP2013175726A true JP2013175726A (en) 2013-09-05
JP6147018B2 JP6147018B2 (en) 2017-06-14

Family

ID=48985216

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013032851A Active JP6147018B2 (en) 2012-02-23 2013-02-22 Enhancement mode GaN HEMT device with gate spacer and method of manufacturing the same

Country Status (5)

Country Link
JP (1) JP6147018B2 (en)
KR (1) KR20130097116A (en)
CN (1) CN103296078B (en)
DE (1) DE102013202972B4 (en)
TW (1) TWI566402B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016017127A1 (en) * 2014-07-29 2016-02-04 パナソニックIpマネジメント株式会社 Nitride semiconductor device
CN107533974A (en) * 2015-05-07 2018-01-02 德克萨斯仪器股份有限公司 Low stress low hydrogen type lpcvd silicon nitride

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104465746B (en) * 2014-09-28 2018-08-10 苏州能讯高能半导体有限公司 A kind of HEMT device and its manufacturing method
TWI802096B (en) * 2021-11-23 2023-05-11 新唐科技股份有限公司 Transistor device
CN116613192B (en) * 2023-07-17 2023-10-03 成都氮矽科技有限公司 Normally-off GaN HEMT and manufacturing method thereof

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04101435A (en) * 1990-08-21 1992-04-02 Sumitomo Electric Ind Ltd Manufacture of field effect transistor
JP2008010461A (en) * 2006-06-27 2008-01-17 Sharp Corp Hetero-junction field effect transistor, and manufacturing method of hetero-junction field effect transistor
JP2010192716A (en) * 2009-02-19 2010-09-02 Nippon Telegr & Teleph Corp <Ntt> Field effect transistor and method of manufacturing the same
WO2010118092A1 (en) * 2009-04-08 2010-10-14 Efficient Power Conversion Corporation Back diffusion suppression structures
WO2010118100A1 (en) * 2009-04-08 2010-10-14 Efficient Power Conversion Corporation Compensated gate misfet and method for fabricating the same
JP2011204891A (en) * 2010-03-25 2011-10-13 Panasonic Corp Transistor and method of manufacturing the same
JP2011204993A (en) * 2010-03-26 2011-10-13 Panasonic Corp Bidirectional switch element and bidirectional switch circuit using the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US7501669B2 (en) * 2003-09-09 2009-03-10 Cree, Inc. Wide bandgap transistor devices with field plates
JP4705412B2 (en) 2005-06-06 2011-06-22 パナソニック株式会社 Field effect transistor and manufacturing method thereof
US7948011B2 (en) * 2005-09-16 2011-05-24 The Regents Of The University Of California N-polar aluminum gallium nitride/gallium nitride enhancement-mode field effect transistor
JP4755961B2 (en) * 2006-09-29 2011-08-24 パナソニック株式会社 Nitride semiconductor device and manufacturing method thereof
TWI514568B (en) * 2009-04-08 2015-12-21 Efficient Power Conversion Corp Enhancement mode gan hemt device and method for fabricating the same
KR101172857B1 (en) * 2009-12-14 2012-08-09 경북대학교 산학협력단 Enhancement normally off nitride smiconductor device and manufacturing method thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04101435A (en) * 1990-08-21 1992-04-02 Sumitomo Electric Ind Ltd Manufacture of field effect transistor
JP2008010461A (en) * 2006-06-27 2008-01-17 Sharp Corp Hetero-junction field effect transistor, and manufacturing method of hetero-junction field effect transistor
JP2010192716A (en) * 2009-02-19 2010-09-02 Nippon Telegr & Teleph Corp <Ntt> Field effect transistor and method of manufacturing the same
WO2010118092A1 (en) * 2009-04-08 2010-10-14 Efficient Power Conversion Corporation Back diffusion suppression structures
WO2010118100A1 (en) * 2009-04-08 2010-10-14 Efficient Power Conversion Corporation Compensated gate misfet and method for fabricating the same
JP2011204891A (en) * 2010-03-25 2011-10-13 Panasonic Corp Transistor and method of manufacturing the same
JP2011204993A (en) * 2010-03-26 2011-10-13 Panasonic Corp Bidirectional switch element and bidirectional switch circuit using the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016017127A1 (en) * 2014-07-29 2016-02-04 パナソニックIpマネジメント株式会社 Nitride semiconductor device
CN107533974A (en) * 2015-05-07 2018-01-02 德克萨斯仪器股份有限公司 Low stress low hydrogen type lpcvd silicon nitride
JP2018515926A (en) * 2015-05-07 2018-06-14 日本テキサス・インスツルメンツ株式会社 Low stress low hydrogen LPCVD silicon nitride
CN107533974B (en) * 2015-05-07 2022-03-18 德克萨斯仪器股份有限公司 Low-stress low-hydrogen LPCVD silicon nitride

Also Published As

Publication number Publication date
DE102013202972A1 (en) 2013-09-05
CN103296078B (en) 2017-01-18
DE102013202972B4 (en) 2020-07-30
KR20130097116A (en) 2013-09-02
TW201347177A (en) 2013-11-16
TWI566402B (en) 2017-01-11
CN103296078A (en) 2013-09-11
JP6147018B2 (en) 2017-06-14

Similar Documents

Publication Publication Date Title
US8823012B2 (en) Enhancement mode GaN HEMT device with gate spacer and method for fabricating the same
JP5689869B2 (en) Enhancement mode GaN HEMT device and manufacturing method thereof
JP6251071B2 (en) Semiconductor device
US8853749B2 (en) Ion implanted and self aligned gate structure for GaN transistors
TW201633532A (en) Semiconductor device and method of manufacturing semiconductor device
US10700189B1 (en) Semiconductor devices and methods for forming the same
WO2021189182A1 (en) Semiconductor device and manufacturing method therefor
JP6147018B2 (en) Enhancement mode GaN HEMT device with gate spacer and method of manufacturing the same
US20220376074A1 (en) Nitride-based semiconductor device and method for manufacturing the same
CN110875383B (en) Semiconductor device and method for manufacturing the same
CN111048411A (en) Method for manufacturing semiconductor device
JP2020524399A (en) High electron mobility transistor
JP6437381B2 (en) Nitride semiconductor device and manufacturing method thereof
TWI740058B (en) Semiconductor devices and methods for forming same
TWI538208B (en) Ion implanted and self aligned gate structure for gan transistors
TWI719722B (en) Semiconductor structure and method of forming the same
WO2023141749A1 (en) GaN-BASED SEMICONDUCTOR DEVICE WITH REDUCED LEAKAGE CURRENT AND METHOD FOR MANUFACTURING THE SAME
CN111668302B (en) Semiconductor device and method for manufacturing the same
CN114975573A (en) High electron mobility transistor and manufacturing method thereof
JP2017147320A (en) Semiconductor device
JP2015079806A (en) Hetero junction field effect transistor and manufacturing method of the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170418

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170516

R150 Certificate of patent or registration of utility model

Ref document number: 6147018

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250