JP2012044175A - Substrate processing system - Google Patents

Substrate processing system Download PDF

Info

Publication number
JP2012044175A
JP2012044175A JP2011176886A JP2011176886A JP2012044175A JP 2012044175 A JP2012044175 A JP 2012044175A JP 2011176886 A JP2011176886 A JP 2011176886A JP 2011176886 A JP2011176886 A JP 2011176886A JP 2012044175 A JP2012044175 A JP 2012044175A
Authority
JP
Japan
Prior art keywords
transfer
transfer module
module
substrate
connection line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2011176886A
Other languages
Japanese (ja)
Inventor
Kiso Kin
基相 金
Tae-Hyuk Ahn
太赫 安
Dong-Gun Park
東健 朴
Sang-Won Yi
上源 李
Bong-Seon Kim
俸先 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2012044175A publication Critical patent/JP2012044175A/en
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a substrate processing system provided between a plurality of process facilities having transfer modules.SOLUTION: The substrate processing system includes a plurality of process facilities and a buffer station. Each process facility includes a transfer module internally having a carrier robot and a processing module connected to the transfer module. The buffer station, placed between a plurality of adjacent transfer modules, is provided to transfer a substrate between the transfer modules. The plurality of process facilities include a first facility in which a processing module is placed on a first side of a connection line from the criterion of the connection line provided along the direction in which the transfer module and the buffer station are disposed, and a second facility in which the processing module is placed on a second side of the connection line, from the criterion of the connection line. The transfer module provided on the first facility is disposed in a manner to protrude toward the first side from the criterion of the connection line, as compared to the transfer module provided on the second facility.

Description

本発明は、基板を処理するシステムに関し、より詳細には移送モジュールが設けられた複数の工程設備の間で基板を処理するシステムに関する。   The present invention relates to a system for processing a substrate, and more particularly to a system for processing a substrate between a plurality of process facilities provided with a transfer module.

半導体工程は、クリーンルーム内に設けられた複数の工程設備で行われる。一般的に各々の工程設備は、ロードポート、移送モジュール、及び処理モジュールを有する。ロードポートには、基板が収容された容器が置かれる。移送モジュールは、ロードポートと処理モジュールとの間に配置され、移送モジュールには、ロードポートに置かれた容器と処理モジュールとの間で基板を搬送する搬送ロボットが設けられる。このような工程設備の一例は、特許文献1等に開示されている。   The semiconductor process is performed by a plurality of process facilities provided in the clean room. Generally, each process facility includes a load port, a transfer module, and a processing module. A container containing a substrate is placed in the load port. The transfer module is disposed between the load port and the processing module, and the transfer module is provided with a transfer robot for transferring the substrate between the container placed in the load port and the processing module. An example of such process equipment is disclosed in Patent Document 1 and the like.

上述した工程設備は、クリーンルーム内で互いに離れて配置される。基板は、容器に収納された状態で搬送装置や作業者によって複数の工程設備の間で運送される。   The process facilities described above are arranged apart from each other in the clean room. The substrate is transported between a plurality of process facilities by a transfer device or an operator while being accommodated in a container.

米国特許出願公開第2008/255697号明細書US Patent Application Publication No. 2008/255697 米国特許出願公開第2004/165973号明細書US Patent Application Publication No. 2004/165973

本発明は、複数の工程設備を使用して工程を遂行する場合、工程を効率的に遂行できる基板処理システムを提供することを目的とする。   An object of the present invention is to provide a substrate processing system capable of efficiently performing a process when a process is performed using a plurality of process facilities.

本発明が解決しようとする課題は、ここに制限されず、言及されないその他の課題は、以下の記載から当業者に明確に理解される。   The problem to be solved by the present invention is not limited here, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

本発明は、基板処理システムを提供する。一実施形態によると、基板処理システムは、内部に搬送ロボットが設けられた移送モジュール及び前記移送モジュールに連結される処理モジュールを各々有する複数の工程設備と、隣接する前記移送モジュール間に位置し、これらの間で基板を移送するために設けられるバッファーステーションと、を含む。前記複数の工程設備は、前記移送モジュールと、前記バッファーステーションが配置される方向に沿って設けられる連結ラインを基準として前記処理モジュールが前記連結ラインの第1側に位置する少なくとも1つの第1設備と、前記連結ラインを基準として前記処理モジュールが前記連結ラインの第2側に位置する少なくとも1つの第2設備と、を具備し、前記第1設備に設けられる前記移送モジュールは、前記第2設備に設けられる前記移送モジュールに比べて前記連結ラインを基準として前記第1側に向かってさらに突出するように設けられる。   The present invention provides a substrate processing system. According to one embodiment, a substrate processing system is located between a plurality of process facilities each having a transfer module having a transfer robot provided therein and a processing module connected to the transfer module, and the adjacent transfer module, And a buffer station provided for transferring the substrate between them. The plurality of process facilities may include at least one first facility in which the processing module is located on a first side of the connection line with reference to a connection line provided along a direction in which the transfer module and the buffer station are disposed. And at least one second facility in which the processing module is located on the second side of the connection line with respect to the connection line, and the transfer module provided in the first facility includes the second facility. Compared with the transfer module provided in the above, the connection line is provided so as to protrude further toward the first side with reference to the connection line.

他の実施形態によると、基板処理システムは、内部に搬送ロボットが設けられた第1移送モジュールと、内部に搬送ロボットが設けられた第2移送モジュールと、前記第1移送モジュールと前記第2移送モジュールとの間に配置され、これらの間で基板を移送するために設けられるバッファーステーションと、前記第1移送モジュール、前記バッファーステーション、前記第2移送モジュールが配置される方向である連結ラインを基準として、前記連結ラインの第1側に位置し前記第1移送モジュールに結合される第1処理モジュールと、前記連結ラインの第2側に位置し前記第2移送モジュールに結合される第2処理モジュールと、を含み、前記第1移送モジュールは、前記連結ラインを基準として前記第2移送モジュールよりも前記第1側に向かってさらに突出するように設けられる。   According to another embodiment, the substrate processing system includes a first transfer module having a transfer robot provided therein, a second transfer module having a transfer robot provided therein, the first transfer module, and the second transfer. A buffer station arranged between the modules and provided for transferring the substrate between them, and a connection line which is a direction in which the first transfer module, the buffer station and the second transfer module are arranged A first processing module located on the first side of the connection line and coupled to the first transfer module; and a second processing module located on the second side of the connection line and coupled to the second transfer module. The first transfer module includes the first transfer module relative to the second transfer module with respect to the connection line. Provided as to protrude further towards the.

本発明によると、工程設備の間で基板搬送が効率的に行われる。   According to the present invention, substrate transfer is efficiently performed between process facilities.

また、本発明によると、複数の工程設備が設置されたクリーンルームの制限された空間を効率的に使用することができる。   In addition, according to the present invention, it is possible to efficiently use a limited space of a clean room in which a plurality of process facilities are installed.

本発明の基板処理システムの一例を概略的に示す平面図である。1 is a plan view schematically showing an example of a substrate processing system of the present invention. 図1の移送モジュールとバッファーステーションとの内部構造を概略的に示す断面図である。FIG. 2 is a cross-sectional view schematically showing an internal structure of a transfer module and a buffer station of FIG. 1. 図2のバッファー部材の一例を概略的に示す斜視図である。FIG. 3 is a perspective view schematically showing an example of the buffer member in FIG. 2. 図2のバッファー部材の他の例を概略的に示す斜視図である。It is a perspective view which shows schematically the other example of the buffer member of FIG. 図1のバッファーステーションの他の例を概略的に示す斜視図である。FIG. 6 is a perspective view schematically showing another example of the buffer station of FIG. 1. 図1のバッファーステーションのその他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of the buffer station of FIG. 図1のバッファーステーションのその他の例を概略的に示す斜視図である。It is a perspective view which shows roughly the other example of the buffer station of FIG. 図1のバッファーステーションのその他の例を概略的に示す斜視図である。It is a perspective view which shows roughly the other example of the buffer station of FIG. 図1の基板処理システムで移送モジュールの形状を説明するための図面である。2 is a diagram for explaining a shape of a transfer module in the substrate processing system of FIG. 1. 図1の基板処理システムの他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of the substrate processing system of FIG. 図1の基板処理システムの他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of the substrate processing system of FIG. 図1の基板処理システムの他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of the substrate processing system of FIG. 図1の基板処理システムの他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of the substrate processing system of FIG. 図1の基板処理システムの他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of the substrate processing system of FIG. 図1の基板処理システムの他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of the substrate processing system of FIG. 図15の基板処理装置の一例を概略的に示す図面である。16 is a drawing schematically showing an example of the substrate processing apparatus of FIG. 基板処理システムのその他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of a substrate processing system. 基板処理システムのその他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of a substrate processing system. 基板処理システムのその他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of a substrate processing system. 図19の基板処理装置の一例を概略的に示す図面である。FIG. 20 is a drawing schematically showing an example of the substrate processing apparatus of FIG. 19. FIG. 基板処理システムのその他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of a substrate processing system. 基板処理システムのその他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of a substrate processing system. 基板処理システムのその他の例を概略的に示す平面図である。It is a top view which shows roughly the other example of a substrate processing system. 図1の基板処理システムが複数個配置された例を概略的に示す平面図である。FIG. 2 is a plan view schematically showing an example in which a plurality of substrate processing systems of FIG. 1 are arranged. 図1の基板処理システムが複数個配置された例を概略的に示す平面図である。FIG. 2 is a plan view schematically showing an example in which a plurality of substrate processing systems of FIG. 1 are arranged. 図1の基板処理システムが複数個配置された例を概略的に示す平面図である。FIG. 2 is a plan view schematically showing an example in which a plurality of substrate processing systems of FIG. 1 are arranged. 基板処理システムで基板の搬送経路を概略的に示す図面である。1 is a diagram schematically illustrating a substrate transfer path in a substrate processing system. 基板処理システムで基板の搬送経路を概略的に示す図面である。1 is a diagram schematically illustrating a substrate transfer path in a substrate processing system. 基板処理システムで基板の搬送経路を概略的に示す図面である。1 is a diagram schematically illustrating a substrate transfer path in a substrate processing system.

以下、本発明による実施形態を添付された図面の図1乃至図29を参照してより詳細に説明する。本発明の実施形態は、様々な形態に変形され、本発明の範囲が以下の実施形態で限定されることとして解釈してはならない。本実施形態は、当業界で平均的な知識を有する者に本発明をより完全に説明するために提供される。したがって、図面での要素の形状は、より明確な説明を強調するために誇張されている。   Hereinafter, embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 29 of the accompanying drawings. The embodiments of the present invention can be modified into various forms, and the scope of the present invention should not be construed as being limited by the following embodiments. This embodiment is provided to more fully explain the present invention to those skilled in the art. Accordingly, the shape of the elements in the drawings has been exaggerated to emphasize a clearer description.

図1は、本発明の一実施形態による基板処理システム1000を概略的に示す斜視図である。図1を参照すると、基板処理システム1000は、複数の工程設備1200と複数のバッファーステーション1400とを有する。各々の工程設備1200は、ロードポート1220、移送モジュール1240、及び処理モジュール1260を有する。1つの工程設備1200のロードポート1220、移送モジュール1240、及び処理モジュール1260は、同一直線上に順次配置されるように設けられる。他の工程設備1200に属する移送モジュール1240は、互いに同一直線上に配置されるように位置する。バッファーステーション1400は、隣接する工程設備1200の移送モジュール1240の間に配置される。上から見ると、1つの工程設備1200のロードポート1220、移送モジュール1240、及び処理モジュール1260が配置される方向は、移送モジュール1240及びバッファーステーション1400が配置される方向と垂直に設けられる。以下、移送モジュール1240及びバッファーステーション1400が配置される方向を第1方向10と称し、1つの工程設備1200のロードポート1220、移送モジュール1240、及び処理モジュール1260が配置される方向を第2方向20と称する。   FIG. 1 is a perspective view schematically showing a substrate processing system 1000 according to an embodiment of the present invention. Referring to FIG. 1, the substrate processing system 1000 includes a plurality of process facilities 1200 and a plurality of buffer stations 1400. Each process facility 1200 includes a load port 1220, a transfer module 1240, and a processing module 1260. The load port 1220, the transfer module 1240, and the processing module 1260 of one process equipment 1200 are provided so as to be sequentially arranged on the same straight line. The transfer modules 1240 belonging to the other process equipment 1200 are positioned so as to be arranged on the same straight line. The buffer station 1400 is disposed between the transfer modules 1240 of the adjacent process equipment 1200. When viewed from above, the direction in which the load port 1220, the transfer module 1240, and the processing module 1260 of one process equipment 1200 are arranged is provided perpendicular to the direction in which the transfer module 1240 and the buffer station 1400 are arranged. Hereinafter, the direction in which the transfer module 1240 and the buffer station 1400 are disposed is referred to as a first direction 10, and the direction in which the load port 1220, the transfer module 1240, and the processing module 1260 of one process facility 1200 are disposed is the second direction 20. Called.

ロードポート1220には、複数の基板が収納される容器30が置かれる。容器30は、オーバーヘッドトランスファー(overhead transfer)のような搬送装置40によってロードポート1220にローディング又はアンローディングされる。容器30は、任意に自動案内車輌(automatic guided vehicle)、レール案内車輌(rail guided vehicle)、又は作業者によってロードポート1220にローディング又はアンローディングされる。容器30には、密閉式容器である前面開放一体式ポッド(front open unified pod)が使用される。各々の工程設備1200のロードポート1220は、1つ又は複数個が設けられる。ロードポート1220が複数個設けられる場合、ロードポート1220は、第1方向10に沿って一列に設けられる。ロードポート1220は、互いに密接して位置するように設けられる。図1では、各々の工程設備1200に2つのロードポート1220が設けられるように図示した。しかし、各々の工程設備1200に設けられるロードポート1220の数は、これと異なってもよい。また、工程設備1200は、互いに異なる数のロードポート1220を有することができる。   A container 30 that stores a plurality of substrates is placed in the load port 1220. The container 30 is loaded or unloaded onto the load port 1220 by a transport device 40 such as an overhead transfer. The container 30 is optionally loaded or unloaded into the load port 1220 by an automated guided vehicle, rail guided vehicle, or operator. As the container 30, a front open unified pod that is a sealed container is used. One or a plurality of load ports 1220 of each process equipment 1200 are provided. When a plurality of load ports 1220 are provided, the load ports 1220 are provided in a row along the first direction 10. The load ports 1220 are provided so as to be in close contact with each other. In FIG. 1, each process facility 1200 is illustrated as having two load ports 1220. However, the number of load ports 1220 provided in each process facility 1200 may be different from this. In addition, the process facility 1200 may have a different number of load ports 1220 from each other.

移送モジュール1240は、ハウジング1250と搬送ロボット1242とを有する。ハウジング1250は、ほぼ直方体の形状を有する。ハウジング1250は、上面(図2の符号1251)、底面(図2の符号1252)、第1側面1253、第2側面1254、第3側面1255、及び第4側面1256を有する。第1側面1253と第3側面1255とは、互いに対向するように設けられ、第2側面1254と第4側面1256とは、互いに対向するように設けられる。また、第1側面1253は、第2側面1254に対して垂直に設けられる。第1側面1253は、ロードポート1220に対向し、第3側面1255は、処理モジュール1260に対向する。   The transfer module 1240 includes a housing 1250 and a transfer robot 1242. The housing 1250 has a substantially rectangular parallelepiped shape. The housing 1250 has a top surface (reference numeral 1251 in FIG. 2), a bottom surface (reference numeral 1252 in FIG. 2), a first side surface 1253, a second side surface 1254, a third side surface 1255, and a fourth side surface 1256. The first side surface 1253 and the third side surface 1255 are provided to face each other, and the second side surface 1254 and the fourth side surface 1256 are provided to face each other. Further, the first side surface 1253 is provided perpendicular to the second side surface 1254. The first side surface 1253 faces the load port 1220, and the third side surface 1255 faces the processing module 1260.

ハウジング1250の第1側面1253には、容器30内に基板が出入するための開口(図示せず)、及びこれを開閉するドア(図示せず)が設けられる。ハウジング1250の第3側面1255には、処理モジュール1260へ基板が出入するための開口(図示せず)及びこれを開閉するドア(図示せず)が設けられる。また、ハウジング1250の第2側面1254又は/及び第4側面1256には、各々バッファーステーション1400へ基板が出入するための開口(図2の符号1257)が形成される。また、ハウジング1250内には、容器30のドアを開放するためのドアオープナー(図示せず)が設けられる。ハウジング1250は、その内部が外部から隔離されるように設けられる。ハウジング1250の上面には、ファンフィルターユニット(図示せず)が設けられ、ハウジング1250内で整流された空気が上から下方向に流れるように案内することができる。これによって、ハウジング1250の内部は、外部よりさらに清浄に維持される。   The first side surface 1253 of the housing 1250 is provided with an opening (not shown) through which the substrate enters and leaves the container 30 and a door (not shown) for opening and closing the opening. The third side surface 1255 of the housing 1250 is provided with an opening (not shown) through which the substrate enters and leaves the processing module 1260 and a door (not shown) that opens and closes the substrate. Further, the second side surface 1254 and / or the fourth side surface 1256 of the housing 1250 are formed with openings (reference numeral 1257 in FIG. 2) through which the substrate enters and leaves the buffer station 1400. In addition, a door opener (not shown) for opening the door of the container 30 is provided in the housing 1250. The housing 1250 is provided such that the inside thereof is isolated from the outside. A fan filter unit (not shown) is provided on the upper surface of the housing 1250, and air rectified in the housing 1250 can be guided so as to flow downward from above. As a result, the inside of the housing 1250 is kept cleaner than the outside.

搬送ロボット1242は、ロードポート1220に置かれた容器30、処理モジュール1260、及びバッファーステーション1400の間で基板を搬送する。搬送ロボット1242は、ハウジング1250内の中央に位置する。搬送ロボット1242は、上下移動できるように設けられる。搬送ロボット1242のハンド1244は、水平面上で前進、後進、及び回転等が可能となるように設けられる。ハンド1244は、1つ、又は複数個が設けられる。図1では、2つのハンド1244を有する搬送ロボット1242が図示されている。   The transfer robot 1242 transfers the substrate between the container 30 placed in the load port 1220, the processing module 1260, and the buffer station 1400. The transfer robot 1242 is located at the center in the housing 1250. The transfer robot 1242 is provided so as to move up and down. The hand 1244 of the transfer robot 1242 is provided so as to be able to move forward, backward, rotate, and the like on a horizontal plane. One or a plurality of hands 1244 are provided. In FIG. 1, a transfer robot 1242 having two hands 1244 is illustrated.

処理モジュール1260は、ロードロックチャンバー(loadlock chamber)1262、トランスファーチャンバー(transfer chamber)1264、及び工程チャンバー(process chamber)1266を有する。   The processing module 1260 includes a loadlock chamber 1262, a transfer chamber 1264, and a process chamber 1266.

上から見ると、トランスファーチャンバー1264は、ほぼ多角形の形状を有する。図1では、トランスファーチャンバー1264を上から見ると、六角形の形状を有するように図示した。しかしトランスファーチャンバー1264の形状は、多様に変更できる。トランスファーチャンバー1264の内部には、搬送ロボット1268が設けられる。搬送ロボット1268は、上下移動できるように設けられる。搬送ロボット1268のハンド1269は、水平面上で前進、後進及び回転等が可能となるように設けられる。ハンド1269は、1つ又は複数個が設けられる。図1では、2つのハンド1269を有する搬送ロボット1268が図示されている。   Viewed from above, the transfer chamber 1264 has a substantially polygonal shape. In FIG. 1, the transfer chamber 1264 is illustrated as having a hexagonal shape when viewed from above. However, the shape of the transfer chamber 1264 can be variously changed. A transfer robot 1268 is provided inside the transfer chamber 1264. The transfer robot 1268 is provided so as to move up and down. A hand 1269 of the transfer robot 1268 is provided so as to be able to move forward, backward, and rotate on a horizontal plane. One or a plurality of hands 1269 are provided. In FIG. 1, a transfer robot 1268 having two hands 1269 is shown.

トランスファーチャンバー1264の周辺には、ロードロックチャンバー1262と工程チャンバー1266とが設けられる。ロードロックチャンバー1262は、トランスファーチャンバー1264の側部のうち移送モジュール1240と隣接する側部に位置し、工程チャンバー1266は、トランスファーチャンバー1264の他の側部に位置する。ロードロックチャンバー1262は、1つ又は複数個が設けられる。一例によると、ロードロックチャンバー1262は、2個が設けられる。2つのロードロックチャンバー1262のうち、1つには工程を進行するために処理モジュール1260に搬入される基板が一時的に留まり、他の1つには工程が完了して処理モジュール1260から搬出される基板が一時的に留まる。これと異なり、ロードロックチャンバー1262は、1つ又は複数個が設けられ、各々のロードロックチャンバー1262には、工程処理前の基板及び工程が完了した基板が全て留まる。トランスファーチャンバー1264及び工程チャンバー1266の内部は、第1圧力で維持され、移送モジュール1240の内部は、第2圧力で維持され、ロードロックチャンバー1262の内部は、第1圧力及び第2圧力に切り換えできる。第1圧力は、第2圧力より低い圧力である。例えば、第1圧力は真空圧であり、第2圧力は大気圧である。   A load lock chamber 1262 and a process chamber 1266 are provided around the transfer chamber 1264. The load lock chamber 1262 is located on the side of the transfer chamber 1264 adjacent to the transfer module 1240, and the process chamber 1266 is located on the other side of the transfer chamber 1264. One or a plurality of load lock chambers 1262 are provided. According to an example, two load lock chambers 1262 are provided. One of the two load lock chambers 1262 temporarily holds a substrate to be loaded into the processing module 1260 to proceed with the process, and the other one is unloaded from the processing module 1260 after the process is completed. The substrate that remains is temporarily retained. Unlike this, one or a plurality of load lock chambers 1262 are provided, and in each load lock chamber 1262, all of the substrates before the process and the substrates that have undergone the process remain. The interior of the transfer chamber 1264 and the process chamber 1266 is maintained at the first pressure, the interior of the transfer module 1240 is maintained at the second pressure, and the interior of the load lock chamber 1262 can be switched to the first pressure and the second pressure. . The first pressure is a pressure lower than the second pressure. For example, the first pressure is a vacuum pressure and the second pressure is an atmospheric pressure.

工程チャンバー1266は、基板に対して所定の工程を遂行する。例えば、工程チャンバー1266は、洗浄、アッシング、蒸着、エッチング、又は測定等のような工程を遂行できる。工程チャンバー1266は、トランスファーチャンバー1264の側部に1つ又は複数個が設けられる。工程チャンバー1266は、ロードロックチャンバー1262が設けられたトランスファーチャンバー1264の側部以外の他の側部各々に設けられる、或いはこれらのうち一部の側部のみに設けられる。工程チャンバー1266が複数個設けられる場合、工程チャンバー1266は、基板に対して互いに同一の工程を遂行できる。工程チャンバー1266は、任意に互いに異なる工程を遂行できる。工程チャンバー1266は、真空圧で基板に対して工程を遂行する構造を有することができる。工程チャンバー1266は、任意に大気圧で基板に対して工程を遂行する構造を有することができる。   The process chamber 1266 performs a predetermined process on the substrate. For example, the process chamber 1266 may perform processes such as cleaning, ashing, vapor deposition, etching, or measurement. One or more process chambers 1266 are provided on the side of the transfer chamber 1264. The process chamber 1266 is provided on each of the other side portions other than the side portion of the transfer chamber 1264 in which the load lock chamber 1262 is provided, or is provided only on a part of these side portions. When a plurality of process chambers 1266 are provided, the process chambers 1266 can perform the same process on the substrate. The process chambers 1266 can perform different processes arbitrarily. The process chamber 1266 may have a structure for performing a process on a substrate with a vacuum pressure. The process chamber 1266 may have a structure for performing a process on a substrate at an atmospheric pressure.

バッファーステーション1400は、隣接する移送モジュール1240a、1240bの間に各々設けられる。図2は、移送モジュール1240a、1240bの間に設けられたバッファーステーション1400の一例を示す図面であり、図3は、図2のバッファー部材1440を示す斜視図である。図2と図3とを参照すると、バッファーステーション1400は、ハウジング1420とバッファー部材1440とを有する。ハウジング1420は、内部に空間が設けられた直方体の筒状で設けられる。ハウジング1420の一端は、第1移送モジュール1240aに結合され、ハウジング1420の他端は、第2移送モジュール1240bに結合される。ハウジング1420の一端及び他端は、第1方向10に沿って離間し、互いに対向する面である。   The buffer station 1400 is provided between adjacent transfer modules 1240a and 1240b, respectively. FIG. 2 is a view illustrating an example of the buffer station 1400 provided between the transfer modules 1240a and 1240b, and FIG. 3 is a perspective view illustrating the buffer member 1440 of FIG. Referring to FIGS. 2 and 3, the buffer station 1400 includes a housing 1420 and a buffer member 1440. The housing 1420 is provided in the shape of a rectangular parallelepiped with a space provided therein. One end of the housing 1420 is coupled to the first transfer module 1240a, and the other end of the housing 1420 is coupled to the second transfer module 1240b. One end and the other end of the housing 1420 are surfaces that are separated from each other in the first direction 10 and face each other.

バッファー部材1440は、ハウジング1420内に固定して設置できる。バッファー部材1440は、本体1442と複数個のバッファー1444とを有することができる。本体1442は、ほぼ直方体の筒状を有し、第1方向10と垂直な面は、開口される。バッファー1444は、本体1442の内部に設けられる。バッファー1444は、上下方向に離間するように設けられる。各々のバッファー1444は、基板のエッジ部分を支持する2つのプレート1444a、1444bを有する。プレート1444a、1444bは、互いに第2方向20に沿って離間するように設けられる。搬送ロボット1242のハンド1244は、プレート1444a、1444bの間の隔離された空間に沿って上下方向に移動する。バッファーステーション1400の両側に位置する移送モジュール1240a、1240bの搬送ロボット1242は、全てのバッファー1444に基板をローディング及びアンローディングできるように設けられる。上述したことと異なり、バッファー部材1440は、図4のように1つのバッファー1445を有することができる。   The buffer member 1440 can be fixedly installed in the housing 1420. The buffer member 1440 can include a main body 1442 and a plurality of buffers 1444. The main body 1442 has a substantially rectangular parallelepiped cylindrical shape, and a surface perpendicular to the first direction 10 is opened. The buffer 1444 is provided inside the main body 1442. The buffer 1444 is provided so as to be separated in the vertical direction. Each buffer 1444 has two plates 1444a, 1444b that support the edge portion of the substrate. The plates 1444 a and 1444 b are provided so as to be separated from each other along the second direction 20. The hand 1244 of the transfer robot 1242 moves up and down along the isolated space between the plates 1444a and 1444b. The transfer robots 1242 of the transfer modules 1240a and 1240b located on both sides of the buffer station 1400 are provided so that substrates can be loaded and unloaded to all the buffers 1444. Unlike the above, the buffer member 1440 may have one buffer 1445 as shown in FIG.

再び、図2を参照すると、移送モジュール1240a、1240bのハウジング1250内には、バッファーステーション1400に対向する面に形成された開口1257を開閉するドア1258が設けられる。工程設備1200のうちいずれか1つにエラーが発生した場合、ドア1258で開口1257を閉鎖し、各々の工程設備1200を他の工程設備1200に対して分離させて独立して使用することができる。この場合、工程設備1200の間における基板の移送は、基板を容器30に収納した状態でオーバーヘッドトランスファー40を通じて行われる。基板が収納された容器30は、任意に工程設備1200の間で自動案内車輌AGV、レール案内車輌RGV、又は作業者によって運送される。   Referring again to FIG. 2, a door 1258 for opening and closing an opening 1257 formed on a surface facing the buffer station 1400 is provided in the housing 1250 of the transfer modules 1240 a and 1240 b. When an error occurs in any one of the process facilities 1200, the opening 1257 is closed by the door 1258, and each process facility 1200 can be separated from the other process facilities 1200 and used independently. . In this case, the transfer of the substrate between the process facilities 1200 is performed through the overhead transfer 40 in a state where the substrate is stored in the container 30. The container 30 in which the substrate is stored is optionally transported between the process equipment 1200 by the automatic guide vehicle AGV, the rail guide vehicle RGV, or an operator.

図5は、バッファーステーション1401の他の例を示す図面である。図5のバッファーステーション1401では、その内部を示すためにハウジング1421を除去した。図5を参照すると、バッファーステーション1401は、ハウジング1421、バッファー部材1441、及びバッファー駆動部材1460を有する。図5のハウジング1421及びバッファー部材1441は、図2及び図3のハウジング1420及びバッファー部材1440とほぼ類似の構造を有する。但し、図5のハウジング1421は、図2のハウジング1420に比べて第1方向10に沿ってより長い長さを有する。バッファー駆動部材1460は、バッファー部材1441をハウジング1421内で第1方向10に沿って第1位置及び第2位置の間で移動させる。第1位置は、バッファーステーション1401の一側に位置した移送モジュール1240a(以下、第1移送モジュール)と隣接する位置であり、第2位置は、バッファーステーション1401の他側に位置した他の移送モジュール1240b(以下、第2移送モジュール)と隣接する位置である。バッファー駆動部材1460は、案内レール1461及びベース1462を有する。案内レール1461は、ハウジング1421内においてその横方向が第1方向10と平行に配置され、第1位置から第2位置まで延在するように設けられる。ベース1462は、駆動器(図示せず)によって案内レール1461に沿って移動できるように案内レール1461に結合される。バッファー部材1441は、ベース1462に固定して結合されて、ベース1462と共に移動する。図5のバッファーステーション1401は、隣接する移送モジュール1240a、1240bの間の距離が比較的長い場合に使用される。   FIG. 5 is a view showing another example of the buffer station 1401. In the buffer station 1401 of FIG. 5, the housing 1421 is removed to show the inside. Referring to FIG. 5, the buffer station 1401 includes a housing 1421, a buffer member 1441, and a buffer driving member 1460. The housing 1421 and the buffer member 1441 in FIG. 5 have substantially the same structure as the housing 1420 and the buffer member 1440 in FIGS. However, the housing 1421 in FIG. 5 has a longer length along the first direction 10 than the housing 1420 in FIG. 2. The buffer driving member 1460 moves the buffer member 1441 in the housing 1421 along the first direction 10 between the first position and the second position. The first position is a position adjacent to a transfer module 1240a (hereinafter referred to as a first transfer module) located on one side of the buffer station 1401, and the second position is another transfer module located on the other side of the buffer station 1401. It is a position adjacent to 1240b (hereinafter referred to as the second transfer module). The buffer driving member 1460 includes a guide rail 1461 and a base 1462. The guide rail 1461 is provided in the housing 1421 so that the lateral direction thereof is parallel to the first direction 10 and extends from the first position to the second position. Base 1462 is coupled to guide rail 1461 so that it can be moved along guide rail 1461 by a driver (not shown). The buffer member 1441 is fixedly coupled to the base 1462 and moves together with the base 1462. The buffer station 1401 of FIG. 5 is used when the distance between adjacent transfer modules 1240a, 1240b is relatively long.

図6は、バッファーステーション1402のその他の例を概略的に示す平面図である。図6を参照すると、バッファーステーション1402は、ハウジング1422、第1バッファー部材1442a、第2バッファー部材1442b、及び搬送部材1480を有する。図6のハウジング1422は、図2のハウジング1420と類似の構造を有し、図6の第1バッファー部材1442aと第2バッファー部材1442bとは、全て図3のバッファー部材1440とほぼ類似の構造を有することができる。但し、図6のハウジング1422は、図2のハウジング1420に比べ第1方向10に沿ってより長い長さを有する。第1バッファー部材1442aは、バッファーステーション1402の一側に位置した第1移送モジュール1240aと隣接するように位置し、第2バッファー部材1442bは、バッファーステーション1402の他側に位置した第2移送モジュール1240bに隣接するように位置する。搬送部材1480は、第1バッファー部材1442aと第2バッファー部材1442bとの間で基板を移動させる。搬送部材1480は、案内レール1481及び搬送ロボット1482を有する。案内レール1481は、ハウジング1422内にその横方向が第1方向10と平行に配置され、第1バッファー部材1442aと隣接する位置から第2バッファー部材1442bと隣接する位置まで延在するように設けられる。搬送ロボット1482は、駆動器(図示せず)によって案内レール1481に沿って移動できるように案内レール1481に結合される。搬送ロボット1482は、第1バッファー部材1442aから第2バッファー部材1442bに基板を搬送する。搬送ロボット1482は、1つ又は複数のハンド1483を有する。例えば、搬送ロボット1482は、2つのハンドを有する、或いは第1バッファー部材1442aに積載できる基板の数と同一の数のハンドを有することができる。図6のバッファーステーション1402は、隣接する移送モジュール1240a、1240bの間の距離が比較的長い場合に使用される。   FIG. 6 is a plan view schematically showing another example of the buffer station 1402. Referring to FIG. 6, the buffer station 1402 includes a housing 1422, a first buffer member 1442 a, a second buffer member 1442 b, and a transport member 1480. The housing 1422 of FIG. 6 has a structure similar to the housing 1420 of FIG. 2, and the first buffer member 1442a and the second buffer member 1442b of FIG. 6 all have a structure substantially similar to the buffer member 1440 of FIG. Can have. However, the housing 1422 of FIG. 6 has a longer length along the first direction 10 than the housing 1420 of FIG. The first buffer member 1442a is positioned adjacent to the first transfer module 1240a located on one side of the buffer station 1402, and the second buffer member 1442b is positioned on the other side of the buffer station 1402 in the second transfer module 1240b. It is located adjacent to The transport member 1480 moves the substrate between the first buffer member 1442a and the second buffer member 1442b. The transport member 1480 includes a guide rail 1481 and a transport robot 1482. The guide rail 1481 is disposed in the housing 1422 so that its lateral direction is parallel to the first direction 10 and extends from a position adjacent to the first buffer member 1442a to a position adjacent to the second buffer member 1442b. . The transfer robot 1482 is coupled to the guide rail 1481 so as to be moved along the guide rail 1481 by a driver (not shown). The transfer robot 1482 transfers the substrate from the first buffer member 1442a to the second buffer member 1442b. The transfer robot 1482 includes one or more hands 1483. For example, the transfer robot 1482 may have two hands or the same number of hands as the number of substrates that can be stacked on the first buffer member 1442a. The buffer station 1402 of FIG. 6 is used when the distance between adjacent transfer modules 1240a, 1240b is relatively long.

再び、図1を参照すると、バッファーステーション1400は、移送モジュール1240と一体に設けられる。バッファーステーション1400は、移送モジュール1240に任意に脱着できるように設けられる。   Referring back to FIG. 1, the buffer station 1400 is provided integrally with the transfer module 1240. The buffer station 1400 is provided to be arbitrarily detachable from the transfer module 1240.

基板処理システム1000は、次のように設置できる。工程設備1200とバッファーステーション1400とを順次に交互して設置し、最後に工程設備1200を設置できる。バッファーステーション1400を設置するときには、前に設置した工程設備1200の移送モジュール1240に直接密着するように設置し、工程設備1200を設置するときには移送モジュール1240が前に設置したバッファーステーション1400に直接密着するように設置する。以後、移送モジュール1240とバッファーステーション1400とをスクリュー等のような締結部材(図示せず)を利用して固定する。   The substrate processing system 1000 can be installed as follows. The process equipment 1200 and the buffer station 1400 can be installed alternately one after another, and finally the process equipment 1200 can be installed. When installing the buffer station 1400, it is installed so as to be in direct contact with the transfer module 1240 of the previously installed process equipment 1200, and when installing the process equipment 1200, the transfer module 1240 is in direct contact with the buffer station 1400 installed in front. Install as follows. Thereafter, the transfer module 1240 and the buffer station 1400 are fixed using a fastening member (not shown) such as a screw.

基板処理システム1000は、任意に次のように設置できる。先ず、複数の移送モジュール1240が一定の間隔で離間するように位置するように工程設備1200を設置する。以後、複数の移送モジュール1240の間の各々にバッファーステーション1400を設置する。この場合、バッファーステーション1400は、第1方向10に沿う長さを変更できるように設けられる。バッファーステーション1400を複数の移送モジュール1240の間に位置させた後、バッファーステーション1400の長さを伸長させてバッファーステーション1400と移送モジュール1240とを密着させる。以後バッファーステーション1400と移送モジュール1240とをスクリュー等のような締結部材(図示せず)を利用して固定する。   The substrate processing system 1000 can be arbitrarily installed as follows. First, the process equipment 1200 is installed such that the plurality of transfer modules 1240 are positioned so as to be spaced apart at regular intervals. Thereafter, the buffer station 1400 is installed between each of the plurality of transfer modules 1240. In this case, the buffer station 1400 is provided so that the length along the first direction 10 can be changed. After the buffer station 1400 is positioned between the plurality of transfer modules 1240, the length of the buffer station 1400 is extended to bring the buffer station 1400 and the transfer module 1240 into close contact. Thereafter, the buffer station 1400 and the transfer module 1240 are fixed using a fastening member (not shown) such as a screw.

図7は、長さの変更が可能なバッファーステーション1403の一例が図示された斜視図である。図7を参照すると、バッファーステーション1403のハウジング1423は、ボディー1423a、ベローズ1423b、及び締結プレート1423cを有する。ボディー1423aは、第1方向10に沿う長さが変化しない構造又は材質で設けられる。ベローズ1423bは、ボディー1423aから延在し、第1方向10に沿って伸縮させて長さを変更できるように設けられる。締結プレート1423cは、ボディー1423aの終端及びベローズ1423bの終端に各々設けられる。バッファーステーション1403と移送モジュール1240とを結合するために、最初に、ベローズ1423bを収縮した状態でバッファーステーション1403を複数の移送モジュール1240の間に位置させる。この時、ボディー1423aに結合された締結プレート1423cが移送モジュール1240に密着するようにし、締結部材(図示せず)でこれらを結合させる。以後、ベローズ1423bに結合された締結プレート1423cが他の移送モジュール1240に密着するようにベローズ1423bの長さを伸長させ、この後、締結部材(図示せず)でこれらを結合させる。   FIG. 7 is a perspective view illustrating an example of a buffer station 1403 whose length can be changed. Referring to FIG. 7, the housing 1423 of the buffer station 1403 includes a body 1423a, a bellows 1423b, and a fastening plate 1423c. The body 1423a is provided with a structure or material whose length along the first direction 10 does not change. The bellows 1423b extends from the body 1423a and is provided so that the length can be changed by extending and contracting along the first direction 10. The fastening plate 1423c is provided at the end of the body 1423a and the end of the bellows 1423b, respectively. In order to couple the buffer station 1403 and the transfer module 1240, first, the buffer station 1403 is positioned between the plurality of transfer modules 1240 with the bellows 1423b contracted. At this time, the fastening plate 1423c coupled to the body 1423a is brought into close contact with the transfer module 1240, and these are coupled by a fastening member (not shown). Thereafter, the length of the bellows 1423b is extended so that the fastening plate 1423c coupled to the bellows 1423b is in close contact with the other transfer module 1240, and thereafter, these are coupled with a fastening member (not shown).

図7と異なり、ハウジングは、任意にボディーの両側に各々ベローズを有することができる。また、ハウジングは、任意にその全体がベローズで設けられる。   Unlike FIG. 7, the housing can optionally have bellows on each side of the body. The entire housing is optionally provided with a bellows.

図8は、長さが変更できるバッファーステーション1404の他の例が図示された斜視図である。図8を参照すると、ハウジング1424は、第1ボディー1424a、第2ボディー1424b、及び締結プレート1424cを有する。第2ボディー1424bは、第1方向10に沿って第1ボディー1424aから突出且つ第1ボディー1424aに挿入できるように第1ボディー1424aに結合される。即ち、ハウジング1424は、テレスコープ(telescope)方式によって第1方向10に沿って長さを変更できる。第1ボディー1424aの終端及び第2ボディー1424bの終端には、各々締結プレート1424cが設けられる。バッファーステーション1404と移送モジュール1240とを結合するために、最初に、第2ボディー1424bが第1ボディー1424aに挿入された状態でバッファーステーション1404を複数の移送モジュール1240の間に位置させる。この時、第1ボディー1424aに結合された締結プレート1424cが移送モジュール1240に密着するようにし、締結部材(図示せず)でこれらを結合させる。以後、第2ボディー1424bに結合された締結プレート1424cが他の移送モジュール1240に密着するように第2ボディー1424bを第1ボディー1424aから突出させた後、締結部材(図示せず)でこれらを結合させる。   FIG. 8 is a perspective view illustrating another example of the buffer station 1404 whose length can be changed. Referring to FIG. 8, the housing 1424 includes a first body 1424a, a second body 1424b, and a fastening plate 1424c. The second body 1424b is coupled to the first body 1424a so as to protrude from the first body 1424a along the first direction 10 and to be inserted into the first body 1424a. That is, the length of the housing 1424 can be changed along the first direction 10 by a telescope method. Fastening plates 1424c are provided at the end of the first body 1424a and the end of the second body 1424b, respectively. In order to couple the buffer station 1404 and the transfer module 1240, first, the buffer station 1404 is positioned between the plurality of transfer modules 1240 with the second body 1424b inserted into the first body 1424a. At this time, the fastening plate 1424c coupled to the first body 1424a is in close contact with the transfer module 1240, and these are coupled by a fastening member (not shown). Thereafter, the second body 1424b is protruded from the first body 1424a so that the fastening plate 1424c coupled to the second body 1424b is in close contact with the other transfer module 1240, and then coupled with a fastening member (not shown). Let

図7と図8との締結プレート1423c又は1424cと移送モジュール1240との接触面には、移送モジュール1240の内部及びバッファーステーション1403又は1404のハウジング1423又は1424の内部が外部から隔離されるようにシーリング部材(図示せず)が設けられる。   The sealing plate 1423c or 1424c and the transfer module 1240 in FIGS. 7 and 8 are sealed on the contact surface so that the inside of the transfer module 1240 and the inside of the housing 1423 or 1424 of the buffer station 1403 or 1404 are isolated from the outside. A member (not shown) is provided.

再び、図1を参照すると、工程設備1200は、全て同一の構造を有することができる。また、互いに連結された複数の移送モジュール1240と複数のバッファーステーション1400とは、全て1つの仮想ラインに沿って配置される。以下、上述した仮想ラインを連結ライン50と称する。連結ライン50は、図1のように一直線に設けられる。連結ラインは、任意にアルファベット大文字‘L’やアルファベット大文字‘T’等のような多様な態様で設けられる。一例によると、工程設備1200の処理モジュール1260は、順次に連結ライン50の第1側及び第2側に交互に位置する。連結ライン50の第1側及び第2側の各々には、ロードポート1220に上下に対向するようにガイドレール42が設けられ、オーバーヘッドトランスファー40のような搬送装置がガイドレール42に沿って移動する。連結ライン50の第1側に設けられたガイドレール42と連結ライン50の第2側に設けられたガイドレール42とは、互いに独立して設けられる。連結ライン50の第1側に設けられたガイドレール42と連結ライン50の第2側に設けられたガイドレール42とは、任意に1つのレールとして設けられる。   Referring again to FIG. 1, the process equipment 1200 can all have the same structure. In addition, the plurality of transfer modules 1240 and the plurality of buffer stations 1400 connected to each other are all arranged along one virtual line. Hereinafter, the above-described virtual line is referred to as a connection line 50. The connection line 50 is provided in a straight line as shown in FIG. The connecting line is arbitrarily provided in various forms such as an uppercase letter “L” and an uppercase letter “T”. According to an example, the processing modules 1260 of the process facility 1200 are alternately positioned on the first side and the second side of the connection line 50 in sequence. A guide rail 42 is provided on each of the first side and the second side of the connection line 50 so as to face the load port 1220 in the vertical direction, and a transport device such as the overhead transfer 40 moves along the guide rail 42. . The guide rail 42 provided on the first side of the connection line 50 and the guide rail 42 provided on the second side of the connection line 50 are provided independently of each other. The guide rail 42 provided on the first side of the connection line 50 and the guide rail 42 provided on the second side of the connection line 50 are arbitrarily provided as one rail.

図9は、図1において設けられた3つの工程設備1200a、1200b及びこれらの間に配置されたバッファーステーション1400を拡大して示す図面である。   FIG. 9 is an enlarged view of the three process facilities 1200a and 1200b provided in FIG. 1 and a buffer station 1400 disposed therebetween.

図9の説明を容易にするために、図9における連結ライン50を基準として処理モジュールが連結ライン50の第1側に設けられた工程設備を第1設備1200aと称し、第1設備1200aに設けられたロードポート、移送モジュール、及び処理モジュールを各々第1ロードポート1220a、第1移送モジュール1240a、及び第1処理モジュール1260aと称する。また、図9における連結ライン50を基準として処理モジュールが連結ライン50の第2側に設けられた工程設備を第2設備1200bと称し、第2設備1200bに設けられたロードポート、移送モジュール、及び処理モジュールを各々第2ロードポート1220b、第2移送モジュール1240b、及び第2処理モジュール1260bと称する。   In order to facilitate the description of FIG. 9, a process facility in which a processing module is provided on the first side of the connection line 50 with reference to the connection line 50 in FIG. 9 is referred to as a first facility 1200 a and is provided in the first facility 1200 a. The loaded load port, transfer module, and processing module are referred to as a first load port 1220a, a first transfer module 1240a, and a first processing module 1260a, respectively. Further, a process facility in which a processing module is provided on the second side of the connection line 50 with reference to the connection line 50 in FIG. 9 is referred to as a second facility 1200b, and a load port, a transfer module provided in the second facility 1200b, and The processing modules are referred to as a second load port 1220b, a second transfer module 1240b, and a second processing module 1260b, respectively.

上述したように、第1移送モジュール1240aの第3側壁1255aには、第1処理モジュール1260aが結合され、第2移送モジュール1240bの第3側壁1255bには、第2処理モジュール1260bが結合される。第1移送モジュール1240aの第3側壁1255aは、第2移送モジュール1240bの第1側壁1253bに比べて、第1処理モジュール1260aが結合される方向において連結ライン50からさらに遠方に突出する。また、第2移送モジュール1240bの第3側壁1255bは、第1移送モジュール1240aの第1側壁1253aに比べて、第2処理モジュール1260bが結合される方向において連結ライン50からさらに遠方に突出する。   As described above, the first processing module 1260a is coupled to the third sidewall 1255a of the first transfer module 1240a, and the second processing module 1260b is coupled to the third sidewall 1255b of the second transfer module 1240b. The third side wall 1255a of the first transfer module 1240a protrudes further from the connection line 50 in the direction in which the first processing module 1260a is coupled as compared to the first side wall 1253b of the second transfer module 1240b. In addition, the third side wall 1255b of the second transfer module 1240b protrudes further from the connection line 50 in the direction in which the second processing module 1260b is coupled to the first side wall 1253a of the first transfer module 1240a.

また、第1移送モジュール1240aと第2移送モジュール1240bとの間に位置したバッファーステーション1400は、第1側壁1453、第2側壁1454、第3側壁1455、及び第4側壁1456を有する。第1側壁1453と第3側壁1455とは互いに対向し、第2側壁1454と第4側壁1456とは互いに対向する。第1側壁1453と第2側壁1454とは、ほぼ垂直に設けられる。第2側壁1454と第4側壁1456とは、第1移送モジュール1240a又は第2移送モジュール1240bに各々結合される。第1側壁1453は、ほぼ第1移送モジュール1240aの第1側壁1253aから延長された平面上に位置する。第3側壁1455は、ほぼ第2移送モジュール1240bの第1側壁1253bから延長された平面上に位置する。   In addition, the buffer station 1400 positioned between the first transfer module 1240 a and the second transfer module 1240 b includes a first side wall 1453, a second side wall 1454, a third side wall 1455, and a fourth side wall 1456. The first side wall 1453 and the third side wall 1455 are opposed to each other, and the second side wall 1454 and the fourth side wall 1456 are opposed to each other. The first side wall 1453 and the second side wall 1454 are provided substantially vertically. The second side wall 1454 and the fourth side wall 1456 are respectively coupled to the first transfer module 1240a or the second transfer module 1240b. The first side wall 1453 is substantially located on a plane extending from the first side wall 1253a of the first transfer module 1240a. The third side wall 1455 is substantially located on a plane extending from the first side wall 1253b of the second transfer module 1240b.

このため、第1移送モジュール1240aから突出した部分1700a、第2ロードポート1220b、及びこれらの間に位置したバッファーステーション1400によって囲まれた第1サービス空間1800aが設けられる。第1サービス空間1800aは、第1移送モジュール1240a、第1処理モジュール1260aのロードロックチャンバー1262a、バッファーステーション1400、及び第2ロードポート1220bのメンテナンス(maintenance)を必要とする場合、作業者が位置する空間として設けられる。また、第2移送モジュール1240bから突出した部分1700b、第1ロードポート1220a、及びこれらの間に位置したバッファーステーション1400によって囲まれた第2サービス空間1800bが設けられる。第2サービス空間1800bは、第2移送モジュール1240b、第2処理モジュール1260bのロードロックチャンバー1262b、バッファーステーション1400、及び第1ロードポート1220aのメンテナンスを必要とする場合、作業者が位置する空間として設けられる。   Therefore, a first service space 1800a surrounded by a portion 1700a protruding from the first transfer module 1240a, a second load port 1220b, and a buffer station 1400 positioned therebetween is provided. The first service space 1800a is located when an operator is required to maintain the first transfer module 1240a, the load lock chamber 1262a of the first processing module 1260a, the buffer station 1400, and the second load port 1220b. It is provided as a space. Further, a second service space 1800b surrounded by a portion 1700b protruding from the second transfer module 1240b, a first load port 1220a, and a buffer station 1400 positioned therebetween is provided. The second service space 1800b is provided as a space where an operator is located when maintenance is required for the second transfer module 1240b, the load lock chamber 1262b of the second processing module 1260b, the buffer station 1400, and the first load port 1220a. It is done.

また、工程設備1200a、1200bは、ほぼ同一の大きさ及び形状を有することができる。第1処理モジュール1260aの第1方向10と平行な方向の幅のうち最大幅L1は、第1移送モジュール1240aの第1方向10と平行な幅L2より大きく設けられる。一例によると、第1処理モジュール1260aの第1方向10と平行な方向の幅のうち最大幅の長さL1は、第1方向10と平行な方向の移送モジュール1240aの幅とその両側に位置したバッファーステーション1400との幅の長さの和L3より大きく設けられる。   In addition, the process facilities 1200a and 1200b may have substantially the same size and shape. Among the widths of the first processing module 1260a in the direction parallel to the first direction 10, the maximum width L1 is provided larger than the width L2 of the first transfer module 1240a parallel to the first direction 10. According to an example, the length L1 of the maximum width among the widths in the direction parallel to the first direction 10 of the first processing module 1260a is located on both sides of the width of the transfer module 1240a in the direction parallel to the first direction 10. It is provided larger than the sum L3 of the width length with the buffer station 1400.

図10は、基板処理システム2000の他の例を概略的に示す図面である。図10を参照すると、基板処理システム2000は、図1の基板処理システム1000とほぼ類似の移送モジュール2240を具備する工程設備2200及び隣接する移送モジュール2240の間に設けられたバッファーステーション2400を有する。図10の基板処理システム2000の移送モジュール2240のハウジング2250内には、第1方向10と平行にガイドレール2246が設けられる。搬送ロボット2242は、ガイドレール2246に沿って直線移動するようにガイドレール2246に装着される。   FIG. 10 is a drawing schematically showing another example of the substrate processing system 2000. Referring to FIG. 10, the substrate processing system 2000 includes a process facility 2200 having a transfer module 2240 substantially similar to the substrate processing system 1000 of FIG. 1 and a buffer station 2400 provided between adjacent transfer modules 2240. A guide rail 2246 is provided in parallel to the first direction 10 in the housing 2250 of the transfer module 2240 of the substrate processing system 2000 of FIG. The transfer robot 2242 is attached to the guide rail 2246 so as to move linearly along the guide rail 2246.

図11は、基板処理システム3000のその他の例を概略的に示す図面である。図11を参照すると、基板処理システム3000は、図1の基板処理システム1000とほぼ類似の移送モジュール3240を具備する工程設備3200、3201及び隣接する移送モジュール3240の間に設けられたバッファーステーション3400を有する。但し、一部の工程設備3201は、ロードポート3220がなく、2つの処理モジュール3262、3264を有する。以下、説明を容易にするために図11の処理モジュールのうち1つを第1処理モジュール3262と称し、他の1つを第2処理モジュール3264と称する。第1処理モジュール3262と第2処理モジュール3264とは、ほぼ図1の処理モジュール1260と類似の構造を有することができる。第1処理モジュール3262と第2処理モジュール3264とは、1つの移送モジュール3240を共有する。第1処理モジュール3262、移送モジュール3240、及び第2処理モジュール3264は、順次に第2方向20に沿って一列に設けられる。第1処理モジュール3262と第2処理モジュール3264とは、移送モジュール3240を基準として対称になるように設けられる。図11では、第1処理モジュール3262と第2処理モジュール3264とが同一の構造を有するように図示している。しかし、第1処理モジュール3262と第2処理モジュール3264とは、任意に互いに異なる構造で設けられる。第1処理モジュール3262と第2処理モジュール3264とは、基板に対して同一の工程を遂行するように設けられる。第1処理モジュール3262と第2処理モジュール3264とは、任意に基板に対して異なる工程を遂行するように設けられる。   FIG. 11 is a drawing schematically showing another example of the substrate processing system 3000. Referring to FIG. 11, the substrate processing system 3000 includes a buffer station 3400 provided between the process equipment 3200 and 3201 having a transfer module 3240 substantially similar to the substrate processing system 1000 of FIG. 1 and the adjacent transfer module 3240. Have. However, some process equipment 3201 does not have a load port 3220 and has two processing modules 3262 and 3264. Hereinafter, for ease of explanation, one of the processing modules in FIG. 11 is referred to as a first processing module 3262 and the other one is referred to as a second processing module 3264. The first processing module 3262 and the second processing module 3264 may have a structure substantially similar to the processing module 1260 of FIG. The first processing module 3262 and the second processing module 3264 share one transfer module 3240. The first processing module 3262, the transfer module 3240, and the second processing module 3264 are sequentially provided in a line along the second direction 20. The first processing module 3262 and the second processing module 3264 are provided to be symmetric with respect to the transfer module 3240. In FIG. 11, the first processing module 3262 and the second processing module 3264 are illustrated to have the same structure. However, the first processing module 3262 and the second processing module 3264 are provided with arbitrarily different structures. The first processing module 3262 and the second processing module 3264 are provided to perform the same process on the substrate. The first processing module 3262 and the second processing module 3264 are provided to arbitrarily perform different processes on the substrate.

図12は、基板処理システム4000のその他の例を概略的に示す図面である。図12を参照すると、基板処理システム4000は、図1の基板処理システム1000とほぼ類似の移送モジュール4240を具備する工程設備4201、4202、4203、及び4204、及び隣接する移送モジュール4240の間に設けられたバッファーステーション4400を有する。但し、工程設備4201、4202、4203、及び4204の処理モジュール4261、4262、4263、及び4264は、互いに異なる構造を有する。工程設備のうち一部の工程設備4201の処理モジュール4261は、上述した図1の処理モジュール1260のようにロードロックチャンバー4261a、多角形のトランスファーチャンバー4261b、及び複数の工程チャンバー4261cを有する。工程設備のうち他の一部の工程設備4202の処理モジュール4262は、1つのロードロックチャンバー4262aと1つの工程チャンバー4262cとがトランスファーチャンバー4262bに結合された構造を有し、ロードロックチャンバー4262a、トランスファーチャンバー4262b、及び工程チャンバー4262cが第2方向20に沿って順次に一列に設けられる。また、工程設備のうち、その他の一部の工程設備4203の処理モジュール4263は、長方形の形状のトランスファーチャンバー4263bとその周囲にロードロックチャンバー4263a及び2つの工程チャンバー4263cが結合された構造を有する。また、工程設備の中で、その他の一部の工程設備4204の処理モジュール4264は、ロードロックチャンバーがなく、多角形のトランスファーチャンバー4264b及びその周囲に配置された複数の工程チャンバー4264cを有し、トランスファーチャンバー4264bが移送モジュール4244に直接結合される。図12に示した処理モジュール4261、4262、4263、及び4264の構造は、一例を示し、処理モジュールは、これと異なる多様な構造を有することができる。   FIG. 12 is a drawing schematically showing another example of the substrate processing system 4000. Referring to FIG. 12, a substrate processing system 4000 is provided between process facilities 4201, 4202, 4203, and 4204 having transfer modules 4240 that are substantially similar to the substrate processing system 1000 of FIG. 1, and adjacent transfer modules 4240. Buffer station 4400. However, the processing modules 4261, 4262, 4263, and 4264 of the process facilities 4201, 4202, 4203, and 4204 have different structures. Among the process facilities, the process module 4261 of some process facilities 4201 includes a load lock chamber 4261a, a polygonal transfer chamber 4261b, and a plurality of process chambers 4261c like the process module 1260 of FIG. A processing module 4262 of another part of the process equipment 4202 has a structure in which one load lock chamber 4262a and one process chamber 4262c are coupled to the transfer chamber 4262b. The chamber 4262b and the process chamber 4262c are sequentially provided in a row along the second direction 20. Among the process facilities, the processing module 4263 of some other process facilities 4203 has a structure in which a transfer chamber 4263b having a rectangular shape, a load lock chamber 4263a and two process chambers 4263c are coupled to the periphery of the transfer chamber 4263b. Among the process facilities, the processing module 4264 of some other process facilities 4204 does not have a load lock chamber, has a polygonal transfer chamber 4264b and a plurality of process chambers 4264c arranged around it. Transfer chamber 4264b is directly coupled to transfer module 4244. The structures of the processing modules 4261, 4262, 4263, and 4264 shown in FIG. 12 show an example, and the processing modules can have various different structures.

図12の場合、工程設備のうち一部の工程設備4201、4202、及び4203は、真空状態で基板に対して工程を遂行し、他の一部の工程設備4204は、常圧状態で基板に対して工程を遂行できる。   In the case of FIG. 12, some of the process equipment 4201, 4202, and 4203 perform the process on the substrate in a vacuum state, and the other part of the process equipment 4204 is applied to the substrate in a normal pressure state. On the other hand, the process can be performed.

図12では、処理モジュール4261、4262、4263、及び4264がバッファーステーション4400及び移送モジュール4240、4244が配置された連結ライン50を基準としてその両側に設けられるように図示したが、これと異なり、図10のように処理モジュール4261、4262、4263、及び4264は、前記連結ライン50を基準として同一側に設けられる。   In FIG. 12, the processing modules 4261, 4262, 4263, and 4264 are illustrated as being provided on both sides of the connection line 50 in which the buffer station 4400 and the transfer modules 4240, 4244 are disposed. 10, the processing modules 4261, 4262, 4263, and 4264 are provided on the same side with respect to the connection line 50.

図13は、基板処理システム5000のその他の例を概略的に示す図面である。図13を参照すると、基板処理システム5000は、図1の基板処理システム1000とほぼ類似の移送モジュール5240を具備する工程設備5200、5201及び隣接する移送モジュール5240の間に設けられたバッファーステーション5400を有する。但し、工程設備のうち一部の工程設備5201は、ロードポート5220がなく、移送モジュール5240と処理モジュール5260とを有する。   FIG. 13 is a drawing schematically showing another example of the substrate processing system 5000. Referring to FIG. 13, the substrate processing system 5000 includes a buffer station 5400 provided between a process facility 5200, 5201 having a transfer module 5240 substantially similar to the substrate processing system 1000 of FIG. 1 and an adjacent transfer module 5240. Have. However, some of the process facilities 5201 do not have the load port 5220 and have a transfer module 5240 and a processing module 5260.

図14は、基板処理システム6000のその他の例を概略的に示す図面である。図14を参照すると、基板処理システム6000は、図1の基板処理システム1000とほぼ類似の移送モジュール6240を具備する工程設備6201、6202及び隣接する移送モジュール6240の間に設けられたバッファーステーション6400を有する。但し、移送モジュール6240とバッファーステーション6400とが配置された連結ライン50を基準として第1側に処理モジュール6260が設けられた工程設備6201には、ロードポート6220が設けられるが、第2側に処理モジュール6260が設けられた工程設備6202には、ロードポートが設けられない。この場合、オーバーヘッドトランスファー40及びこの移動を案内するガイドレール42は、前記連結ライン50の第2側のみに設けられる。   FIG. 14 is a drawing schematically showing another example of the substrate processing system 6000. Referring to FIG. 14, the substrate processing system 6000 includes a buffer station 6400 provided between process facilities 6201 and 6202 and a transfer module 6240 adjacent to each other with a transfer module 6240 substantially similar to the substrate processing system 1000 of FIG. Have. However, the process facility 6201 provided with the processing module 6260 on the first side with respect to the connection line 50 where the transfer module 6240 and the buffer station 6400 are arranged is provided with the load port 6220, but the processing port 6220 is provided on the second side. The process facility 6202 provided with the module 6260 is not provided with a load port. In this case, the overhead transfer 40 and the guide rail 42 for guiding the movement are provided only on the second side of the connection line 50.

図13と図14とでは、処理モジュール5200、5201、6201、及び6202が全て同一の構造を有するとして説明した。しかし、これと異なり、処理モジュール5200、5201、6201、及び6202は、図11のように異なる構造で設けられる。図14の連結ライン50を基準として同一側に位置した処理モジュールは、任意に全て同一の構造で設けられ、連結ライン50を基準として第1側に設けられた処理モジュール6201と第2側に設けられた処理モジュール6202とは、互いに異なる構造で設けられる。   In FIG. 13 and FIG. 14, the processing modules 5200, 5201, 6201, and 6202 are all described as having the same structure. However, unlike this, the processing modules 5200, 5201, 6201, and 6202 are provided with different structures as shown in FIG. The processing modules positioned on the same side with respect to the connection line 50 in FIG. 14 are all optionally provided with the same structure, and provided on the second side with the processing module 6201 provided on the first side with the connection line 50 as a reference. The processing module 6202 is provided with a different structure.

図15は、基板処理システム7000のその他の例を概略的に示す図面である。図15を参照すると、基板処理システム7000は、図1の基板処理システム1000とほぼ類似の移送モジュール7240を具備する工程設備7200、7201及び隣接する移送モジュール7240の間に設けられたバッファーステーション7400を有する。基板処理システム7000は、工程処理装置7800をさらに具備する。工程処理装置7800は、一部の工程設備7201のロードポート7220に結合されるように設けられる。連結ライン50を基準として工程処理装置7800が結合される工程設備7201の処理モジュール7260と工程処理装置7800とは、互いに反対側に位置する。工程処理装置7800は、2つの工程設備7201のロードポート7220に同時に結合される。この時、工程処理装置7800が結合される2つの工程設備7201の移送モジュール7240の間には、バッファーステーション7400が設けられないことがある。また、2つの工程設備7201は、互いに隣接する工程設備である。   FIG. 15 is a drawing schematically showing another example of the substrate processing system 7000. Referring to FIG. 15, the substrate processing system 7000 includes a buffer station 7400 provided between a process facility 7200, 7201 having a transfer module 7240 substantially similar to the substrate processing system 1000 of FIG. 1 and an adjacent transfer module 7240. Have. The substrate processing system 7000 further includes a process processing apparatus 7800. The process processing apparatus 7800 is provided so as to be coupled to a load port 7220 of some process equipment 7201. The process module 7260 of the process facility 7201 to which the process processing apparatus 7800 is coupled with the connection line 50 as a reference is positioned on the opposite side. The process processor 7800 is simultaneously coupled to the load port 7220 of the two process facilities 7201. At this time, the buffer station 7400 may not be provided between the transfer modules 7240 of the two process facilities 7201 to which the process processing apparatus 7800 is coupled. The two process facilities 7201 are process facilities adjacent to each other.

工程処理装置7800は、複数の基板に対して同時に工程を遂行するバッチ式工程装置である。例えば、工程処理装置7800で遂行される工程は、洗浄(cleaning)工程、又はストリップ(strip)工程である。一例によると、工程処理装置7800は、図16のように特許文献2の図12に開示された装置と同一又は類似の構造の装置が設けられる。   The process processing apparatus 7800 is a batch-type process apparatus that simultaneously performs a process on a plurality of substrates. For example, the process performed by the process processing apparatus 7800 is a cleaning process or a strip process. According to an example, the process processing apparatus 7800 is provided with an apparatus having the same or similar structure as the apparatus disclosed in FIG.

工程処理装置7800の内部構造にしたがって、工程処理装置7800には、基板が収納された容器30を回転させる回転部材7820が設けられる。オーバーヘッドトランスファー40は、容器30の開口が移送モジュール7240に向かうように容器30をロードポート7220の上に載置させる。工程処理装置7800は、容器30をその内部に移送し、容器30を180°回転させた後、容器30から基板をアンローディングできる。容器30を回転させる回転部材は、任意にロードポート7220、又はオーバーヘッドトランスファー40に設けられる。容器30は、工程設備7201のロードポート7220に置かれた状態で工程処理装置7800へ移動し、以後に工程処理装置7800で工程が完了すると、容器30は、他の工程設備7201のロードポート7200へ移動する。容器30は、任意にロードポート7220に置かれた状態で基板が直接工程処理装置7800内へ搬送される。   In accordance with the internal structure of the process processing apparatus 7800, the process processing apparatus 7800 is provided with a rotating member 7820 for rotating the container 30 in which the substrate is stored. The overhead transfer 40 places the container 30 on the load port 7220 such that the opening of the container 30 faces the transfer module 7240. The process processing apparatus 7800 can unload a substrate from the container 30 after transferring the container 30 to the inside and rotating the container 30 by 180 °. A rotating member that rotates the container 30 is optionally provided in the load port 7220 or the overhead transfer 40. The container 30 is moved to the process processing apparatus 7800 while being placed in the load port 7220 of the process facility 7201. After the process is completed in the process processing apparatus 7800, the container 30 is loaded with the load port 7200 of the other process facility 7201. Move to. In the state where the container 30 is arbitrarily placed in the load port 7220, the substrate is directly transferred into the process processing apparatus 7800.

図17は、基板処理システム8000のその他の例を概略的に示す図面である。図17の基板処理システム8000は、図15の基板処理システム7000とほぼ類似の移送モジュール8240を具備する工程設備8200、8201、及び隣接する移送モジュール8240の間に設けられたバッファーステーション8400、8401、及び工程処理装置8800を有する。基板処理システム8000の工程処理装置8800が結合された工程設備8201の移送モジュール8240の間には、バッファーステーション8401が設けられる。この時、バッファーステーション8401は、図5又は図6のバッファーステーション1401又は1402と類似の構造を有することができる。   FIG. 17 is a drawing schematically showing another example of the substrate processing system 8000. The substrate processing system 8000 of FIG. 17 includes a buffer station 8400, 8401, a process facility 8200, 8201 having a transfer module 8240 substantially similar to the substrate processing system 7000 of FIG. And a process processing apparatus 8800. A buffer station 8401 is provided between the transfer modules 8240 of the process equipment 8201 to which the process processing apparatus 8800 of the substrate processing system 8000 is coupled. At this time, the buffer station 8401 may have a structure similar to the buffer station 1401 or 1402 of FIG. 5 or FIG.

図18は、基板処理システム9000のその他の例を概略的に示す図面である。基板処理システム9000は、図15の基板処理システム7000とほぼ類似の移送モジュール9240を具備する工程設備9200、9201、及び隣接する移送モジュール9240の間に設けられたバッファーステーション9400、及び工程処理装置9800、9801を有する。但し、基板処理システム9000の工程処理装置9801は、他の工程設備9201が間に位置している工程設備9200のロードポート9220に結合される。   FIG. 18 is a drawing schematically showing another example of the substrate processing system 9000. The substrate processing system 9000 includes process facilities 9200 and 9201 having transfer modules 9240 substantially similar to the substrate processing system 7000 of FIG. 15, a buffer station 9400 provided between adjacent transfer modules 9240, and a process processing apparatus 9800. , 9801. However, the process processing apparatus 9801 of the substrate processing system 9000 is coupled to the load port 9220 of the process facility 9200 in which another process facility 9201 is located.

図19は、基板処理システム10000のその他の例を概略的に示す図面である。基板処理システム10000は、図15の基板処理システム7000とほぼ類似の移送モジュール10240を具備する工程設備10200、及び隣接する移送モジュール10240の間に設けられたバッファーステーション10400、及び工程処理装置10800を有する。基板処理システム10000の工程処理装置10800は、1つの工程設備10200のロードポート10220に結合される。工程処理装置10800は、拡散工程を遂行する装置である。例えば、工程処理装置10800は、図20のように特許文献2の図4と同一又は類似の構造の装置である。   FIG. 19 is a drawing schematically showing another example of the substrate processing system 10000. The substrate processing system 10000 includes a process equipment 10200 having a transfer module 10240 substantially similar to the substrate processing system 7000 of FIG. 15, a buffer station 10400 provided between adjacent transfer modules 10240, and a process processing apparatus 10800. . The process processing apparatus 10800 of the substrate processing system 10000 is coupled to the load port 10220 of one process facility 10200. The process processing apparatus 10800 is an apparatus that performs a diffusion process. For example, the process processing apparatus 10800 is an apparatus having the same or similar structure as that of FIG.

工程処理装置10800には、その内部構造にしたがって基板が収納される容器30を回転させる回転部材10820が設けられる。回転部材10820は、容器30の開口方向が180°変更されるように容器30を回転させる。回転部材は、任意にロードポート10200、又はオーバーヘッドトランスファー40に設けられる。   The process processing apparatus 10800 is provided with a rotating member 10820 for rotating the container 30 in which the substrate is stored according to the internal structure. The rotating member 10820 rotates the container 30 so that the opening direction of the container 30 is changed by 180 °. The rotating member is optionally provided in the load port 10200 or the overhead transfer 40.

図21は、基板処理システム11000のその他の例を概略的に示す図面である。基板処理システム11000は、図1の基板処理システム1000とほぼ類似の移送モジュール11241、11242を具備する工程設備11201、11202、及び隣接する移送モジュール11241、11242の間に設けられたバッファーステーション11400、11401を有する。但し、基板処理システム11000の一部の移送モジュール11241、11242とバッファーステーション11401とは、図1の移送モジュール1240及びバッファーステーション1400と異なる結合構造を有する。工程設備11201、11202は、各々移送モジュール11241、11242と処理モジュール11261、11262とを有する。工程設備11201の移送モジュール10241と処理モジュール10261とは、第2方向20に沿って設けられる。他の工程設備11202の移送モジュール11242と処理モジュール11262とは、第1方向10に沿って設けられる。以下、説明を容易にするために、図21の移送モジュール10241を第1移送モジュールと称し、移送モジュール10242を第2移送モジュールと称する。   FIG. 21 is a drawing schematically showing another example of the substrate processing system 11000. The substrate processing system 11000 includes process facilities 11201 and 11202 having transfer modules 11241 and 11242 substantially similar to the substrate processing system 1000 of FIG. 1 and buffer stations 11400 and 11401 provided between adjacent transfer modules 11241 and 11242. Have However, some of the transfer modules 11241 and 11242 and the buffer station 11401 of the substrate processing system 11000 have a different connection structure from the transfer module 1240 and the buffer station 1400 of FIG. The process facilities 11201 and 11202 include transfer modules 11241 and 11242 and processing modules 11261 and 11262, respectively. The transfer module 10241 and the processing module 10261 of the process equipment 11201 are provided along the second direction 20. The transfer module 11242 and the processing module 11262 of the other process equipment 11202 are provided along the first direction 10. Hereinafter, for ease of explanation, the transfer module 10241 in FIG. 21 is referred to as a first transfer module, and the transfer module 10242 is referred to as a second transfer module.

バッファーステーション11401は、ハウジング11420及びバッファー部材11440を有する。バッファー部材11440は、図2のバッファー部材1440又は図3のバッファー部材1440と同一又は類似の構造を有することができる。ハウジング11420は、上面(図示せず)、下面(図示せず)、第1側面11423、第2側面11424、第3側面11425、及び第4側面11426を具備し、ほぼ直方体又は立方体の形状を有する。第1側面11423と第3側面11425とは、互いに対向する面であり、第2側面11424と第4側面11426とは、互いに対向する面であり、第1側面11423と第2側面11424とは、ほぼ垂直に設けられる。第1側面11423及び第2側面11424には、基板が出入する開口(図示せず)及びこれを開閉するドア(図示せず)が設けられる。第1側面11423には、第1移送モジュール11241が結合され、第2側面11424には、第2移送モジュール11242が結合される。このような構造によって、ほぼ垂直に配置された第1移送モジュール11241と第2移送モジュール11242との間での基板の移送は、これらの間に配置されたバッファーステーション11401を通じてなされる。   The buffer station 11401 includes a housing 11420 and a buffer member 11440. The buffer member 11440 may have the same or similar structure as the buffer member 1440 of FIG. 2 or the buffer member 1440 of FIG. The housing 11420 includes an upper surface (not shown), a lower surface (not shown), a first side surface 11423, a second side surface 11424, a third side surface 11425, and a fourth side surface 11426, and has a substantially rectangular parallelepiped or cubic shape. . The first side surface 11423 and the third side surface 11425 are surfaces facing each other, the second side surface 11424 and the fourth side surface 11426 are surfaces facing each other, and the first side surface 11423 and the second side surface 11424 are It is provided almost vertically. The first side surface 11423 and the second side surface 11424 are provided with an opening (not shown) through which the substrate enters and exits and a door (not shown) that opens and closes the substrate. A first transfer module 11241 is coupled to the first side surface 11423, and a second transfer module 11242 is coupled to the second side surface 11424. With such a structure, the substrate is transferred between the first transfer module 11241 and the second transfer module 11242 arranged substantially vertically through the buffer station 11401 arranged therebetween.

図22は、基板処理システム12000のその他の例を概略的に示す図面である。図22を参照すると、基板処理システム12000は、図1の基板処理システム1000とほぼ類似の移送モジュール12241、12242を具備する工程設備12200、及び隣接する移送モジュール12241、12242の間に設けられたバッファーステーション12400を有する。但し、ロードポート12260は、上述した連結ラインに沿って設けられた移送モジュール12241、12242のうち両端に位置した移送モジュール12241の第2側壁12254又は第4側壁12256に結合される。これによって、基板処理システム12000の両端には、各々ロードポート12260が設けられ、ロードポート12260の間に移送モジュール12241、12242とバッファーステーション12400とが順次に交互に設けられる。また、ロードポート12260に対向するようにロードポート12260の上部を通るガイドレール42が設けられ、容器を搬送するオーバーヘッドトランスファー40のような搬送装置がガイドレール42に沿って移動する。図22において、連結ラインは図示しないが、ガイドレール42と重なっている。   FIG. 22 is a drawing schematically showing another example of the substrate processing system 12000. Referring to FIG. 22, the substrate processing system 12000 includes a process facility 12200 including transfer modules 12241 and 12242 that are substantially similar to the substrate processing system 1000 of FIG. 1, and a buffer provided between adjacent transfer modules 12241 and 12242. A station 12400 is included. However, the load port 12260 is coupled to the second side wall 12254 or the fourth side wall 12256 of the transfer module 12241 located at both ends of the transfer modules 12241 and 12242 provided along the connection line described above. Accordingly, load ports 12260 are provided at both ends of the substrate processing system 12000, and transfer modules 12241 and 12242 and buffer stations 12400 are alternately provided between the load ports 12260 one after another. In addition, a guide rail 42 that passes through the upper portion of the load port 12260 is provided so as to face the load port 12260, and a transport device such as the overhead transfer 40 that transports the container moves along the guide rail 42. In FIG. 22, the connecting line is overlapped with the guide rail 42 although not shown.

図23は、基板処理システム13000の他の例を概略的に示す図面である。図23を参照すると、基板処理システム13000は、図1の基板処理システム1000とほぼ類似の移送モジュール13240を具備する工程設備13200、及び隣接する移送モジュール13240の間に設けられたバッファーステーション13400を有する。また、処理モジュール13260は、連結ライン50の第1側及び第2側に交互に配置される。移送モジュール13240は、連結ライン50に沿って互いに整列するように設けられる。即ち、全ての移送モジュール13240は、連結ライン50の第1側及び第2側に向かって突出した長さが全て等しくなるように設けられる。また、第2方向20と平行な方向の移送モジュール13240の幅TLは、バッファーステーション13400の幅BLより長く設けられる。これによって、移送モジュール13240は、バッファーステーション13400に比べ連結ライン50の第1側及び第2側に各々さらに長く突出する。   FIG. 23 is a drawing schematically showing another example of the substrate processing system 13000. Referring to FIG. 23, the substrate processing system 13000 includes a process facility 13200 having a transfer module 13240 that is substantially similar to the substrate processing system 1000 of FIG. 1, and a buffer station 13400 provided between adjacent transfer modules 13240. . Further, the processing modules 13260 are alternately arranged on the first side and the second side of the connection line 50. The transfer modules 13240 are provided to align with each other along the connection line 50. That is, all the transfer modules 13240 are provided so that the lengths protruding toward the first side and the second side of the connection line 50 are all equal. The width TL of the transfer module 13240 in a direction parallel to the second direction 20 is longer than the width BL of the buffer station 13400. Accordingly, the transfer module 13240 protrudes longer than the buffer station 13400 on the first side and the second side of the connection line 50, respectively.

上述した図11乃至図15、図17乃至図19、図21乃至図23に示した基板処理システムでの移送モジュールの各々に設けられた搬送ロボットは、ロードポートに置かれた容器及びバッファーステーションとの距離にしたがって、図10の基板処理システムに記載された移送モジュールの搬送ロボットのように第1方向に沿って移動できるように設けられる。   The transfer robot provided in each of the transfer modules in the substrate processing systems shown in FIGS. 11 to 15, 17 to 19, and 21 to 23 described above includes a container and a buffer station placed in a load port. According to the distance, the moving module described in the substrate processing system of FIG. 10 is provided so as to be movable in the first direction like the transfer robot of the transfer module.

上述した基板処理システムでは、全ての工程設備がバッファーステーション又は基板処理装置を通じて互いに連結されるとして説明した。しかし、これと異なり、工程設備は、複数個でグループになり、各グループに属する工程設備同士がバッファーステーションに連結される。   In the above-described substrate processing system, it has been described that all process facilities are connected to each other through a buffer station or a substrate processing apparatus. However, unlike this, there are a plurality of process facilities, and the process facilities belonging to each group are connected to the buffer station.

一例によると、図24のように工程設備1200は、第1グループ1000aと第2グループ1000bとにグループ化されている。第1グループ1000aに属する工程設備1200は、互いにバッファーステーション1400を通じて連結され、第2グループ1000bに属する工程設備1200は、互いにバッファーステーション1400を通じて連結される。また、基板が収納された容器は、第1グループ1000aに属する工程設備1200の間、第2グループ1000bに属する工程設備1200の間、及び第1グループ1000aの工程設備1200と第2グループ1000bの工程設備1200との間にオーバーヘッドトランスファーOHT、自動案内車輌AGV、レール案内車輌RGV等のような搬送装置や作業者によって搬送される。   According to an example, the process equipment 1200 is grouped into a first group 1000a and a second group 1000b as shown in FIG. The process facilities 1200 belonging to the first group 1000a are connected to each other through the buffer station 1400, and the process facilities 1200 belonging to the second group 1000b are connected to each other through the buffer station 1400. The containers in which the substrates are stored are between the process equipment 1200 belonging to the first group 1000a, between the process equipment 1200 belonging to the second group 1000b, and between the process equipment 1200 of the first group 1000a and the process of the second group 1000b. It is transported between the equipment 1200 by a transport device such as an overhead transfer OHT, an automatic guide vehicle AGV, a rail guide vehicle RGV, or the like.

また、図25のように第1グループ1000aと第2グループ1000bとの間には、バッファーステーションが連結されない1つ又は複数の工程設備1209が独立して設けられる。   In addition, as shown in FIG. 25, one or a plurality of process facilities 1209 to which no buffer station is connected are independently provided between the first group 1000a and the second group 1000b.

また、図26のように図1又は図24のような基板処理システムは、複数個が設けられ、これらは、第2方向20に沿って互いに離間し、平行に設けられる。   As shown in FIG. 26, a plurality of substrate processing systems as shown in FIG. 1 or FIG. 24 are provided, and these are separated from each other along the second direction 20 and provided in parallel.

上述した図24乃至図26では、図1に示した実施形態のような構造の基板処理システムを図示したが、これと異なり、他の実施形態に示した基板処理システムが図24乃至図26のような配置で設けられる。   In FIGS. 24 to 26 described above, the substrate processing system having the structure as in the embodiment shown in FIG. 1 is shown. However, the substrate processing system shown in the other embodiments is different from that shown in FIGS. It is provided in such an arrangement.

次に、図27乃至図29を参照して、バッファーステーションを具備する基板処理システムを利用して基板に対して工程を遂行する方法の一例を説明する。以下、説明を容易にするために、図27乃至図29に示した工程設備を各々左側から順次に第1工程設備14201、15201、16201、第2工程設備14202、15202、16202、及び第3工程設備14203、15203、16203と称する。また、第1工程設備14201、15201、16201の移送モジュールに設けられた搬送ロボット及び第1工程設備14201、15201、16201の処理モジュールを各々第1搬送ロボット14271、15271、16271、及び第1処理モジュール14261、15261、16261と称し、第2工程設備14202、15202、16202の移送モジュールに設けられた搬送ロボット及び第2工程設備14202、15202、16202の処理モジュールを各々第2搬送ロボット14272、15272、16272、及び第2処理モジュール14262、15262、16262と称し、第3工程設備14203、15203、16203の移送モジュールに設けられた搬送ロボット及び第3工程設備14203、15203、16203の処理モジュールを各々第3搬送ロボット14273、15273、16273、及び第3処理モジュール14263、15263、16263と称する。また、図28に示したバッファーステーションを左側から順次に第1バッファーステーション14401、15401、16401と第2バッファーステーション14402、15402、16402と称する。また、図29における最も右に示した工程設備は、第4工程設備16204と称し、第4工程設備16204に設けられた搬送ロボット及び第4工程設備16204の処理モジュールを各々第4搬送ロボット16274及び第4処理モジュール16264と称する。また、図29における最も右側に示したバッファーステーションを第3バッファーステーション16403と称する。   Next, an example of a method for performing a process on a substrate using a substrate processing system including a buffer station will be described with reference to FIGS. Hereinafter, for ease of explanation, the process facilities shown in FIGS. 27 to 29 are sequentially arranged from the left side in the first process facilities 14201, 15201, 16201, the second process facilities 14202, 15202, 16202, and the third process. These are referred to as facilities 14203, 15203, 16203. Further, the transfer robot provided in the transfer module of the first process equipment 14201, 15201, 16201 and the processing module of the first process equipment 14201, 15201, 16201 are respectively replaced with the first transfer robots 14271, 15271, 16271, and the first process module. 14261, 15261, 16261, and the transfer robot provided in the transfer module of the second process equipment 14202, 15202, 16202 and the processing module of the second process equipment 14202, 15202, 16202 are respectively the second transfer robots 14272, 15272, 16272. And the second processing modules 14262, 15262, 16262, and the transfer robot and the third process equipment 14203 provided in the transfer module of the third process equipment 14203, 15203, 16203. The 15203,16203 processing module is referred to as a respective third transfer robot 14273,15273,16273, and a third processing module 14263,15263,16263. In addition, the buffer stations shown in FIG. 28 are referred to as a first buffer station 14401, 15401, 16401 and a second buffer station 14402, 15402, 16402 sequentially from the left side. 29 is called a fourth process facility 16204, and the transfer robot provided in the fourth process facility 16204 and the processing module of the fourth process facility 16204 are the fourth transfer robot 16274 and This is referred to as a fourth processing module 16264. The buffer station shown on the rightmost side in FIG. 29 is referred to as a third buffer station 16403.

また、以下の例では、1つの容器30に25枚の基板が収納された場合を例として説明する。容器30に収納された25枚の基板を順次に第1基板、第2基板、・・・、第25基板と称する。   In the following example, a case where 25 substrates are stored in one container 30 will be described as an example. The 25 substrates housed in the container 30 are sequentially referred to as a first substrate, a second substrate,..., A 25th substrate.

図27は、同一の工程を遂行する工程設備14201、14202、14203がバッファーステーション14401、14402を通じて連結された基板処理システム14000において基板が移動する経路を示す。   FIG. 27 shows a path through which a substrate moves in a substrate processing system 14000 in which process facilities 14201, 14202, and 14203 that perform the same process are connected through buffer stations 14401 and 14402.

第1工程設備14201、第2工程設備14202、及び第3工程設備14203には、各々4つの工程チャンバー14266が設けられ、これらの4つの工程チャンバー14266は、全て同一の工程を遂行する。したがって、基板処理システム14000には、同一の工程を遂行する工程チャンバー14266が12個設けられる。25枚の基板のうち、第1基板乃至第4基板、第13基板乃至第16基板、及び第25基板は、第1処理モジュール14261で工程が遂行され、第5基板乃至第8基板、第17乃至第20基板は、第2処理モジュール14262で工程が遂行され、第9基板乃至第12基板、及び第21基板乃至第24基板は、第3処理モジュール14263で工程が遂行される。図27のa1、a2、a3、及びa4は、第1処理モジュール14261で工程が遂行される基板の移動経路を順次に示し、b1、b2、b3、及びb4は、第2処理モジュール14262で工程が遂行される基板の移動経路を順次に示し、c1、c2、c3、及びc4は、第3処理モジュール14263で工程が遂行される基板の移動経路を順次に示す。   The first process facility 14201, the second process facility 14202, and the third process facility 14203 are each provided with four process chambers 14266, and these four process chambers 14266 all perform the same process. Accordingly, the substrate processing system 14000 includes 12 process chambers 14266 that perform the same process. Among the 25 substrates, the first to fourth substrates, the thirteenth to sixteenth substrates, and the twenty-fifth substrate are processed by the first processing module 14261, and the fifth to eighth substrates and the seventeenth substrate are processed. The processes of the 20th to 20th substrates are performed by the second processing module 14262, and the processes of the 9th to 12th substrates and the 21st to 24th substrates are performed by the third processing module 14263. In FIG. 27, a1, a2, a3, and a4 sequentially indicate the substrate movement paths that are performed by the first processing module 14261, and b1, b2, b3, and b4 are performed by the second processing module 14262. , C1, c2, c3, and c4 sequentially indicate substrate movement paths that are performed by the third processing module 14263.

最初に、オーバーヘッドトランスファー40によって基板が収納された容器30が第1工程設備14201のロードポート14221に置かれる。   First, the container 30 in which the substrate is stored by the overhead transfer 40 is placed in the load port 14221 of the first process equipment 14201.

第1搬送ロボット14271は、第1処理モジュール14261で工程が遂行される基板を容器30から第1処理モジュール14261へ搬送し、第2処理モジュール14262及び第3処理モジュール14263で工程が遂行される基板を容器30から第1バッファーステーション14401へ搬送する。また、第1搬送ロボット14271は、第1処理モジュール14261で工程が完了した基板を第1バッファーステーション14401へ搬送する。   The first transfer robot 14271 transfers a substrate on which a process is performed by the first processing module 14261 from the container 30 to the first processing module 14261, and a substrate on which the process is performed by the second processing module 14262 and the third processing module 14263. From the container 30 to the first buffer station 14401. Further, the first transport robot 14271 transports the substrate that has been processed by the first processing module 14261 to the first buffer station 14401.

容器30から基板が全て取り出されると、容器30は、第3工程設備14203のロードポート14223へ移送される。   When all the substrates are removed from the container 30, the container 30 is transferred to the load port 14223 of the third process facility 14203.

第2搬送ロボット14272は、第2処理モジュール14262で工程が遂行される基板を第1バッファーステーション14401から第2処理モジュール14262へ搬送し、第3処理モジュール14263で工程が遂行される基板を第1バッファーステーション14401から第2バッファーステーション14402へ搬送する。また、第2搬送ロボット14272は、第1処理モジュール14261で工程が完了した基板を第1バッファーステーション14401から第2バッファーステーション14402へ搬送し、第2処理モジュール14262で工程が完了した基板を第2処理モジュール14262から第2バッファーステーション14402へ搬送する。   The second transport robot 14272 transports the substrate on which the process is performed by the second processing module 14262 from the first buffer station 14401 to the second processing module 14262, and the substrate on which the process is performed by the third processing module 14263 is the first. Transport from the buffer station 14401 to the second buffer station 14402. Further, the second transport robot 14272 transports the substrate that has been processed by the first processing module 14261 from the first buffer station 14401 to the second buffer station 14402, and the second substrate that has been processed by the second processing module 14262 is second. Transport from the processing module 14262 to the second buffer station 14402.

第3搬送ロボット14273は、第3処理モジュール14263で工程が遂行される基板を第2バッファーステーション14402から第3処理モジュール14263へ搬送する。また、第3搬送ロボット14273は、第1処理モジュール14261及び第2処理モジュール14262で工程が完了した基板を第2バッファーステーション14402から第3工程設備14203のロードポート14223に置かれた容器30へ搬送する。また、第3搬送ロボット14273は、第3処理モジュール14263で工程が完了した基板を第3処理モジュール14263から第3工程設備14203のロードポート14223に置かれた容器30へ搬送する。   The third transfer robot 14273 transfers the substrate on which the process is performed by the third processing module 14263 from the second buffer station 14402 to the third processing module 14263. Further, the third transfer robot 14273 transfers the substrate, which has been processed by the first processing module 14261 and the second processing module 14262, from the second buffer station 14402 to the container 30 placed at the load port 14223 of the third process facility 14203. To do. The third transport robot 14273 transports the substrate, which has been processed by the third processing module 14263, from the third processing module 14263 to the container 30 placed in the load port 14223 of the third processing facility 14203.

容器30から第1バッファーステーション14401への基板搬送の時と、第1バッファーステーション14401から第2バッファーステーション14402への基板搬送の時と、第2バッファーステーション14402から容器30への基板搬送の時と、において、第1搬送ロボット14271、第2搬送ロボット14272、及び第3搬送ロボット14273の各々は、複数枚の基板を同時に搬送することができる。   When transferring a substrate from the container 30 to the first buffer station 14401, when transferring a substrate from the first buffer station 14401 to the second buffer station 14402, and when transferring a substrate from the second buffer station 14402 to the container 30. , Each of the first transfer robot 14271, the second transfer robot 14272, and the third transfer robot 14273 can transfer a plurality of substrates simultaneously.

図27の基板処理方法によると、複数の工程設備14201、14202、14203で1つの容器30に収納された複数の基板を分けて同時に工程を遂行できるので、1つの容器30に収納された複数の基板全体に対する工程所要時間を短縮できる。   According to the substrate processing method of FIG. 27, a plurality of substrates stored in one container 30 can be divided and performed simultaneously by a plurality of process equipments 14201, 14202, 14203, so that a plurality of substrates stored in one container 30 can be performed. Process time required for the entire substrate can be shortened.

図28は、異なる工程を遂行する工程設備15201、15202、15203がバッファーステーション15401、15402を通じて連結された基板処理システム15000において基板が移動する経路を示す。   FIG. 28 shows a path through which a substrate moves in a substrate processing system 15000 in which process facilities 15201, 15202, and 15203 performing different processes are connected through buffer stations 15401 and 15402.

第1工程設備15201、第2工程設備15202、及び第3工程設備15203は、1つの基板に対して順次に工程を遂行するように設けられる。図28のd1、d2、d3、d4、d5、及びd6は順次に基板が移動する経路を示す。図28の基板処理システム15000の容器30に設けられた基板は、全て第1処理モジュール15261、第2処理モジュール15262、及び第3処理モジュール15263で順次に工程が遂行される。   The first process facility 15201, the second process facility 15202, and the third process facility 15203 are provided so as to sequentially perform processes on one substrate. In FIG. 28, d1, d2, d3, d4, d5, and d6 indicate paths through which the substrate sequentially moves. All the substrates provided in the container 30 of the substrate processing system 15000 of FIG. 28 are sequentially processed by the first processing module 15261, the second processing module 15262, and the third processing module 15263.

最初に、オーバーヘッドトランスファー40によって基板が収納された容器30が第1工程設備15201のロードポート15221に置かれる。   First, the container 30 in which the substrate is stored by the overhead transfer 40 is placed in the load port 15221 of the first process equipment 15201.

第1搬送ロボット15271は、容器30から基板を第1処理モジュール15261へ搬送する。容器30内の基板が全て第1処理モジュール15261へ搬送されると、オーバーヘッドトランスファー40によって容器30は、第3工程設備15203のロードポート15223へ移送される。第1処理モジュール15261で工程が完了した基板は、他の基板より先に、第1搬送ロボット15271によって第1バッファーステーション15401へ搬送される。第2搬送ロボット15272は、第1バッファーステーション15401から基板を第2処理モジュール15262へ搬送する。第2処理モジュール15262で工程が完了した基板は、他の基板より先に第2バッファーステーション15402へ搬送される。第3搬送ロボット15273は、第2バッファーステーション15402から基板を第3処理モジュール15263へ搬送する。第3処理モジュール15263で工程が完了した基板は、他の基板より先に容器30へ搬送される。   The first transfer robot 15271 transfers the substrate from the container 30 to the first processing module 15261. When all the substrates in the container 30 are transferred to the first processing module 15261, the container 30 is transferred to the load port 15223 of the third process facility 15203 by the overhead transfer 40. The substrate for which the process has been completed in the first processing module 15261 is transferred to the first buffer station 15401 by the first transfer robot 15271 before the other substrates. The second transfer robot 15272 transfers the substrate from the first buffer station 15401 to the second processing module 15262. The substrate for which the process is completed in the second processing module 15262 is transferred to the second buffer station 15402 before other substrates. The third transfer robot 15273 transfers the substrate from the second buffer station 15402 to the third processing module 15263. The substrate for which the process is completed in the third processing module 15263 is transferred to the container 30 before the other substrates.

図28の基板処理方法によると、バッファーステーション15401、15402を利用して基板が直接工程設備15201、15202、15203の間で移動できるので、各基板が容器30内の他の全ての基板に対して特定の工程が完了するまで待機する必要が無く、即座に他の工程を遂行できる。また、基板をバッファーステーション15401、15402を通じて工程設備15201、15202、15203の間で直接搬送できるので、オーバーヘッドトランスファー40を利用して工程設備15201、15202、15203の間で基板を搬送するときに比べ搬送時間を短縮できる。   According to the substrate processing method of FIG. 28, since the substrates can be directly moved between the process facilities 15201, 15202, and 15203 using the buffer stations 15401 and 15402, each substrate is relative to all the other substrates in the container 30. There is no need to wait until a specific process is completed, and other processes can be performed immediately. Further, since the substrate can be directly transferred between the process facilities 15201, 15202, and 15203 through the buffer stations 15401 and 15402, the substrate is transferred compared to when the substrate is transferred between the process facilities 15201, 15202, and 15203 using the overhead transfer 40. You can save time.

図29は、同一の工程を遂行する工程設備と異なる工程を遂行する工程設備とがバッファーステーション16401、16402、16403を通じて連結された基板処理システム16000において基板が移動する経路の一例を示す。第1工程設備16201と第3工程設備16203とは、基板に対して同一の工程を遂行し、第2工程設備16202と第4工程設備16204とは、基板に対して同一の工程を遂行する。また、第2工程設備16202は、基板に対して第1工程設備16201で行われた工程の後続の工程を遂行する。   FIG. 29 shows an example of a path along which a substrate moves in a substrate processing system 16000 in which process facilities that perform the same process and process facilities that perform different processes are connected through buffer stations 16401, 16402, and 16403. The first process facility 16201 and the third process facility 16203 perform the same process on the substrate, and the second process facility 16202 and the fourth process facility 16204 perform the same process on the substrate. In addition, the second process facility 16202 performs a process subsequent to the process performed in the first process facility 16201 on the substrate.

第1工程設備16201と第3工程設備16203とには、各々4つの工程チャンバー16266が設けられ、第2工程設備16202と第4工程設備16204とには、各々2つの工程チャンバー16267が設けられる。この場合、第2工程設備16202の工程チャンバー16267で1つの基板に対して必要となる工程時間は、第1工程設備16201の工程チャンバー16266で1つの基板に対して必要となる工程時間より短くなる。   The first process facility 16201 and the third process facility 16203 are each provided with four process chambers 16266, and the second process facility 16202 and the fourth process facility 16204 are each provided with two process chambers 16267. In this case, the process time required for one substrate in the process chamber 16267 of the second process facility 16202 is shorter than the process time required for one substrate in the process chamber 16266 of the first process facility 16201. .

25枚の基板のうち、第1基板乃至第4基板、第9基板乃至第12基板、第17基板乃至第20基板、及び第25基板は、第1処理モジュール16261及び第2処理モジュール16262で順次に工程が遂行され、第5基板乃至第8基板、第13乃至第16基板、第21基板乃至第24基板は、第3処理モジュール16263及び第4処理モジュール16264で順次に工程が遂行される。図20のe1、e2、e3、e4、e5、及びe6は、第1処理モジュール16261及び第2処理モジュール16262で工程が遂行される基板の移動経路を順次に示し、f1、f2、f3、f4、f5、及びf6は、第3処理モジュール16263及び第4処理モジュール16264で工程が遂行される基板の移動経路を順次に示す。   Of the 25 substrates, the first to fourth substrates, the ninth to twelfth substrates, the seventeenth to twentieth substrates, and the twenty-fifth substrate are sequentially added to the first processing module 16261 and the second processing module 16262. The fifth to eighth substrates, the thirteenth to sixteenth substrates, and the twenty-first to twenty-fourth substrates are sequentially performed by the third processing module 16263 and the fourth processing module 16264. E1, e2, e3, e4, e5, and e6 in FIG. 20 sequentially indicate the movement paths of the substrate on which the processes are performed in the first processing module 16261 and the second processing module 16262, and f1, f2, f3, and f4. , F5, and f6 sequentially indicate the movement paths of the substrates on which the processes are performed in the third processing module 16263 and the fourth processing module 16264.

最初に、オーバーヘッドトランスファー40によって基板が収納された容器30が第1工程設備16201のロードポート16221に置かれる。   First, the container 30 in which the substrate is stored by the overhead transfer 40 is placed in the load port 16221 of the first process equipment 16201.

第1搬送ロボット16271は、第1処理モジュール16261及び第2処理モジュール16262で工程が遂行される基板を容器30から第1処理モジュール16261へ搬送し、第3処理モジュール16263及び第4処理モジュール16264で工程が遂行される基板を容器30から第1バッファーステーション16401へ搬送する。また、第1搬送ロボット16271は、第1処理モジュール16261で工程が完了した基板を第1バッファーステーション16401へ搬送する。   The first transfer robot 16271 transfers the substrate on which the process is performed by the first processing module 16261 and the second processing module 16262 from the container 30 to the first processing module 16261, and the third processing module 16263 and the fourth processing module 16264 perform the processing. The substrate on which the process is performed is transferred from the container 30 to the first buffer station 16401. The first transport robot 16271 transports the substrate, which has been processed by the first processing module 16261, to the first buffer station 16401.

容器30から基板が全て取り出されると、容器30は、第4工程設備16204のロードポート16224へ移送される。   When all the substrates are removed from the container 30, the container 30 is transferred to the load port 16224 of the fourth process facility 16204.

第2搬送ロボット16272は、第3処理モジュール16263及び第4処理モジュール16264で工程が遂行される基板を第1バッファーステーション16401から第2バッファーステーション16402へ搬送する。また、第2搬送ロボット16272は、第1処理モジュール16261で工程が遂行された基板を第1バッファーステーション16401から第2処理モジュール16262へ搬送する。また、第2搬送ロボット16272は、第2処理モジュール16262で工程が完了した基板を第2処理モジュール16262から第3バッファーステーション16403へ搬送する。   The second transfer robot 16272 transfers the substrate on which the process is performed by the third processing module 16263 and the fourth processing module 16264 from the first buffer station 16401 to the second buffer station 16402. In addition, the second transfer robot 16272 transfers the substrate that has been processed by the first processing module 16261 from the first buffer station 16401 to the second processing module 16262. In addition, the second transfer robot 16272 transfers the substrate for which the process has been completed by the second processing module 16262 from the second processing module 16262 to the third buffer station 16403.

第3搬送ロボット16273は、第3処理モジュール16263及び第4処理モジュール16264で工程が遂行される基板を第2バッファーステーション16402から第3処理モジュール16263へ搬送する。また、第3搬送ロボット16273は、第2処理モジュール16262で工程が遂行された基板を第2バッファーステーション16402から第3バッファーステーション16403へ搬送する。また、第3搬送ロボット16273は、第3処理モジュール16263で工程が遂行された基板を第3バッファーステーション16403へ搬送する。   The third transport robot 16273 transports the substrate on which the process is performed by the third processing module 16263 and the fourth processing module 16264 from the second buffer station 16402 to the third processing module 16263. In addition, the third transport robot 16273 transports the substrate that has been processed by the second processing module 16262 from the second buffer station 16402 to the third buffer station 16403. In addition, the third transport robot 16273 transports the substrate that has been processed by the third processing module 16263 to the third buffer station 16403.

第4搬送ロボット16274は、第3処理モジュール16263で工程が遂行された基板を第3バッファーステーション16403から第4処理モジュール16264へ搬送する。また、第4搬送ロボット16274は、第4処理モジュール16264で工程が遂行された基板を第4処理モジュール16264から第4工程設備16204のロードポート16224に置かれた容器30へ搬送する。また、第4搬送ロボット16274は、第2処理モジュール16262で工程が遂行された基板を第3バッファーステーション16403から第4工程設備16204のロードポート16224に置かれた容器30へ搬送する。   The fourth transport robot 16274 transports the substrate that has been processed by the third processing module 16263 from the third buffer station 16403 to the fourth processing module 16264. In addition, the fourth transfer robot 16274 transfers the substrate, which has been processed by the fourth processing module 16264, from the fourth processing module 16264 to the container 30 placed in the load port 16224 of the fourth process facility 16204. Further, the fourth transfer robot 16274 transfers the substrate, which has been processed by the second processing module 16262, from the third buffer station 16403 to the container 30 placed at the load port 16224 of the fourth process facility 16204.

1000 基板処理システム
1200 工程設備
1220 ロードポート
1240 移送モジュール
1260 処理モジュール
1400 バッファーステーション
1440 バッファー部材
1000 Substrate Processing System 1200 Process Equipment 1220 Load Port 1240 Transfer Module 1260 Processing Module 1400 Buffer Station 1440 Buffer Member

Claims (10)

内部に搬送ロボットが設けられた第1移送モジュールと、
内部に搬送ロボットが設けられた第2移送モジュールと、
前記第1移送モジュールと前記第2移送モジュールとの間に配置され、これらの間で基板を移送するために設けられる第1バッファーステーションと、
前記第1移送モジュール、前記第1バッファーステーション、前記第2移送モジュールが配置される方向である連結ラインを基準として、前記連結ラインの第1側に位置し、前記第1移送モジュールに結合される第1処理モジュールと、
前記連結ラインの第2側に位置し、前記第2移送モジュールに結合される第2処理モジュールと、を含み、
前記第1移送モジュールは、前記連結ラインを基準として前記第2移送モジュールよりも前記第1側に向かってさらに突出するように設けられることを特徴とする基板処理システム。
A first transfer module having a transfer robot provided therein;
A second transfer module having a transfer robot provided therein;
A first buffer station disposed between the first transfer module and the second transfer module and provided to transfer a substrate therebetween;
The first transfer module, the first buffer station, and the second transfer module are disposed on the first side of the connection line with respect to the connection line as a reference, and coupled to the first transfer module. A first processing module;
A second processing module located on the second side of the connection line and coupled to the second transfer module;
The substrate processing system, wherein the first transfer module is provided to protrude further toward the first side than the second transfer module with respect to the connection line.
内部に前記搬送ロボットが設けられ、前記第2移送モジュールを基準として前記第1移送モジュールと反対側に位置した第3移送モジュールと、
前記第2移送モジュールと前記第3移送モジュールとの間に配置され、これらの間で基板を移送するために設けられる第2バッファーステーションと、
前記連結ラインの前記第1側に位置し、前記第3移送モジュールに結合される第3処理モジュールと、をさらに含み、
前記第3移送モジュールは、前記連結ラインを基準として前記第2移送モジュールよりも前記第1側に向かってさらに突出するように設けられることを特徴とする請求項1に記載の基板処理システム。
A third transfer module provided inside the transfer robot and positioned on the opposite side of the first transfer module with respect to the second transfer module;
A second buffer station disposed between the second transfer module and the third transfer module and provided to transfer a substrate therebetween;
A third processing module located on the first side of the connection line and coupled to the third transfer module;
2. The substrate processing system according to claim 1, wherein the third transfer module is provided to protrude further toward the first side than the second transfer module with respect to the connection line.
前記第2移送モジュールは、前記連結ラインを基準として前記第1移送モジュール及び前記第3移送モジュールよりも前記第2側に向かってさらに突出するように設けられることを特徴とする請求項2に記載の基板処理システム。   The said 2nd transfer module is provided so that it may protrude further toward the said 2nd side rather than the said 1st transfer module and the said 3rd transfer module on the basis of the said connection line. Substrate processing system. 前記第1移送モジュールは、前記連結ラインを基準として前記第1バッファーステーションよりも前記第1側にさらに突出するように設けられ、
前記第2移送モジュールは、前記連結ラインを基準として前記第1バッファーステーション、前記第2バッファーステーション、前記第1移送モジュール、及び前記第3移送モジュールよりも前記連結ラインの前記第2側にさらに突出するように設けられることを特徴とする請求項2または3に記載の基板処理システム。
The first transfer module is provided to protrude further to the first side than the first buffer station with respect to the connection line.
The second transfer module protrudes further to the second side of the connection line than the first buffer station, the second buffer station, the first transfer module, and the third transfer module with respect to the connection line. The substrate processing system according to claim 2, wherein the substrate processing system is provided.
前記第1移送モジュールは、前記連結ラインを基準として前記連結ラインの前記第2側に向かって前記第1バッファーステーション及び前記第2バッファーステーションとほぼ同一の距離で突出するように設けられ、
前記第2移送モジュールは、前記連結ラインを基準として前記第1側に向かって前記第1バッファーステーション及び前記第2バッファーステーションとほぼ同一の距離で突出するように設けられることを特徴とする請求項2から4のいずれか一項に記載の基板処理システム。
The first transfer module is provided to protrude at substantially the same distance from the first buffer station and the second buffer station toward the second side of the connection line with respect to the connection line.
The second transfer module may be provided to protrude at substantially the same distance from the first buffer station and the second buffer station toward the first side with respect to the connection line. 5. The substrate processing system according to any one of 2 to 4.
前記連結ラインの前記第2側に位置して前記第1移送モジュールに結合され、基板が収納された容器が置かれる1つ又は複数の第1ロードポートと、
前記連結ラインの前記第2側に位置して前記第3移送モジュールに結合され、基板が収納された容器が置かれる1つ又は複数の第3ロードポートと、
前記1つ又は複数の第1ロードポート、及び前記1つ又は複数の第3ロードポートと上下方向に対向するように配置され、これらの基板が収納される容器を搬送する搬送装置の移動を案内する第1ガイドレールと、をさらに含むことを特徴とする請求項2から5のいずれか一項に記載の基板処理システム。
One or more first load ports located on the second side of the connection line and coupled to the first transfer module and in which a container containing a substrate is placed;
One or more third load ports located on the second side of the connection line and coupled to the third transfer module and in which a container containing a substrate is placed;
Guiding the movement of a transfer device that is arranged to face the one or more first load ports and the one or more third load ports in the vertical direction and that transports a container in which these substrates are stored. The substrate processing system according to claim 2, further comprising: a first guide rail that performs the above operation.
前記連結ラインの前記第1側に位置して前記第2移送モジュールに結合され、基板が収納された容器が置かれる1つ又は複数の第2ロードポートと、
前記1つ又は複数の第2ロードポートと上下方向に対向するように配置され、これらの基板が収納される容器を搬送する搬送装置の移動を案内する第2ガイドレールと、をさらに含むことを特徴とする請求項1から6のいずれか一項に記載の基板処理システム。
One or more second load ports located on the first side of the connection line and coupled to the second transfer module and in which a container containing a substrate is placed;
A second guide rail that is arranged to face the one or more second load ports in the vertical direction and guides the movement of a transport device that transports a container in which these substrates are stored. The substrate processing system according to claim 1, wherein the substrate processing system is characterized in that:
前記連結ラインに平行な方向の前記第2処理モジュールの最大幅は、前記連結ラインに平行な方向の前記第2移送モジュールの幅よりも長く設けられることを特徴とする請求項1から7のいずれか一項に記載の基板処理システム。   The maximum width of the second processing module in the direction parallel to the connection line is longer than the width of the second transfer module in the direction parallel to the connection line. The substrate processing system according to claim 1. 前記第1移送モジュール、前記第2移送モジュール、及び前記第3移送モジュールは、ほぼ同一の大きさ及び形状で設けられ、
前記第1処理モジュール、前記第2処理モジュール、及び前記第3処理モジュールは、ほぼ同一の大きさ及び形状で設けられることを特徴とする請求項2から8のいずれか一項に記載の基板処理システム。
The first transfer module, the second transfer module, and the third transfer module are provided with substantially the same size and shape,
The substrate processing according to any one of claims 2 to 8, wherein the first processing module, the second processing module, and the third processing module are provided in substantially the same size and shape. system.
前記連結ラインに平行な方向の前記第2処理モジュールの最大幅の長さは、前記連結ラインに平行な方向の前記第2移送モジュールの幅、第1バッファーステーションの幅、及び第2バッファーステーションの幅の長さの和よりも長く設けられることを特徴とする請求項2から9のいずれか一項に記載の基板処理システム。   The length of the maximum width of the second processing module in the direction parallel to the connection line is the width of the second transfer module, the width of the first buffer station, and the width of the second buffer station in the direction parallel to the connection line. The substrate processing system according to claim 2, wherein the substrate processing system is provided longer than a sum of widths.
JP2011176886A 2010-08-12 2011-08-12 Substrate processing system Ceased JP2012044175A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR20100077941 2010-08-12
KR10-2010-0077941 2010-08-12
KR10-2011-0013853 2011-02-16
KR1020110013853A KR20120015987A (en) 2010-08-12 2011-02-16 System for treating substrates

Publications (1)

Publication Number Publication Date
JP2012044175A true JP2012044175A (en) 2012-03-01

Family

ID=45838531

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011176886A Ceased JP2012044175A (en) 2010-08-12 2011-08-12 Substrate processing system

Country Status (3)

Country Link
JP (1) JP2012044175A (en)
KR (1) KR20120015987A (en)
TW (1) TW201212151A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190008108A (en) * 2017-07-14 2019-01-23 가부시기가이샤 디스코 Inline system
JP2019021715A (en) * 2017-07-14 2019-02-07 株式会社ディスコ Inline system
KR20200093222A (en) * 2019-01-28 2020-08-05 코스텍시스템(주) Transferring apparatus of wafer

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101271383B1 (en) * 2011-04-15 2013-06-10 삼성전자주식회사 Apparatus for buffering Wafers and system for manufacturing wafers having the apparatus
US9147592B2 (en) * 2012-08-08 2015-09-29 Applied Materials, Inc. Linked vacuum processing tools and methods of using the same
CN103969807B (en) 2013-12-30 2016-04-20 玉晶光电(厦门)有限公司 Optical imaging lens and apply the electronic installation of this optical imaging lens
CN106449466A (en) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 Substrate processing system
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP2019537253A (en) 2016-10-18 2019-12-19 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. System and method for processing a workpiece
KR102069744B1 (en) * 2017-03-03 2020-01-23 (주)인스케이프 process unit

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP2004207279A (en) * 2002-12-20 2004-07-22 Rorze Corp Sheet-shaped object manufacturing facility
JP2008024429A (en) * 2006-07-20 2008-02-07 Toshiba Corp Manufacturing method for electronic device
US20080232947A1 (en) * 2003-11-10 2008-09-25 Van Der Meulen Peter Semiconductor wafer handling and transport
JP2009062604A (en) * 2007-09-10 2009-03-26 Tokyo Electron Ltd Vacuum treatment system, and method for carrying substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP2004207279A (en) * 2002-12-20 2004-07-22 Rorze Corp Sheet-shaped object manufacturing facility
US20080232947A1 (en) * 2003-11-10 2008-09-25 Van Der Meulen Peter Semiconductor wafer handling and transport
JP2008024429A (en) * 2006-07-20 2008-02-07 Toshiba Corp Manufacturing method for electronic device
JP2009062604A (en) * 2007-09-10 2009-03-26 Tokyo Electron Ltd Vacuum treatment system, and method for carrying substrate

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190008108A (en) * 2017-07-14 2019-01-23 가부시기가이샤 디스코 Inline system
JP2019021715A (en) * 2017-07-14 2019-02-07 株式会社ディスコ Inline system
KR102472769B1 (en) 2017-07-14 2022-11-30 가부시기가이샤 디스코 Inline system
KR20200093222A (en) * 2019-01-28 2020-08-05 코스텍시스템(주) Transferring apparatus of wafer
KR102227066B1 (en) * 2019-01-28 2021-03-15 코스텍시스템(주) Transferring apparatus of wafer

Also Published As

Publication number Publication date
TW201212151A (en) 2012-03-16
KR20120015987A (en) 2012-02-22

Similar Documents

Publication Publication Date Title
JP2012044175A (en) Substrate processing system
US11587816B2 (en) Container storage add-on for bare workpiece stocker
CN107598909B (en) Substrate processing apparatus
KR0152324B1 (en) Semiconductor wafer carrier apparatus
US5664925A (en) Batchloader for load lock
US20100215461A1 (en) Substrate processing apparatus
KR102161685B1 (en) Mixed-platform apparatus, systems, and methods for substrate processing
US5607276A (en) Batchloader for substrate carrier on load lock
US5609459A (en) Door drive mechanisms for substrate carrier and load lock
US8944739B2 (en) Loadport bridge for semiconductor fabrication tools
WO2013069716A1 (en) Load port and efem
US9339900B2 (en) Apparatus to support a cleanspace fabricator
CN102906856B (en) Reduction of particle contamination produced by moving mechanisms in a process tool
KR20130088731A (en) Transfer robot
US20200176288A1 (en) Systems and Methods for Workpiece Processing
US20070231110A1 (en) Method for handling and transferring a wafer case, and holding part used therefor
US11923215B2 (en) Systems and methods for workpiece processing
KR101736855B1 (en) Apparatus for Processing Substrate
JPWO2012108439A1 (en) Substrate relay device, substrate relay method, substrate processing apparatus
KR20220139945A (en) Robot and substrate transfer system having same
KR101364116B1 (en) Cluster Apparatus for processing a substrate
KR102597446B1 (en) Wafer Carrier, Cluster System Including The Same And Method for Driving the Cluster System
US20120039691A1 (en) Systems for treating a substrate
KR100731997B1 (en) Magnetic levitaing transfer equipment and workpiece processing system using the same
KR102227066B1 (en) Transferring apparatus of wafer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140618

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20141226

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150601

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150819

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160215

A045 Written measure of dismissal of application

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20160627