KR20120015987A - System for treating substrates - Google Patents

System for treating substrates Download PDF

Info

Publication number
KR20120015987A
KR20120015987A KR1020110013853A KR20110013853A KR20120015987A KR 20120015987 A KR20120015987 A KR 20120015987A KR 1020110013853 A KR1020110013853 A KR 1020110013853A KR 20110013853 A KR20110013853 A KR 20110013853A KR 20120015987 A KR20120015987 A KR 20120015987A
Authority
KR
South Korea
Prior art keywords
transfer
transfer module
module
connection line
buffer station
Prior art date
Application number
KR1020110013853A
Other languages
Korean (ko)
Inventor
김기상
안태혁
박동건
이상원
김봉선
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US13/208,032 priority Critical patent/US20120039691A1/en
Priority to TW100128730A priority patent/TW201212151A/en
Priority to JP2011176886A priority patent/JP2012044175A/en
Priority to CN2011104498807A priority patent/CN102646613A/en
Publication of KR20120015987A publication Critical patent/KR20120015987A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PURPOSE: A substrate processing system is provided to efficiently use a limited space of a clean room while efficiently returning a substrate between process equipment. CONSTITUTION: Process equipment(1200) comprises a load port(1220), a transport module(1240), and a process module(1260). The transport module comprises housing(1250) and a returning robot(1242). The process module comprises a load lock chamber(1262), a transfer chamber(1264), and a process chamber(1266). A buffer station comprises the housing and a buffer member. The buffer member comprises a body and a plurality of buffers.

Description

기판 처리 시스템{SYSTEM FOR TREATING SUBSTRATES}Substrate Processing System {SYSTEM FOR TREATING SUBSTRATES}

본 발명은 기판을 처리하는 시스템에 관한 것으로 더 상세하게는 이송 모듈이 제공된 복수의 공정 설비들 간에 기판을 처리하는 시스템에 관한 것이다.The present invention relates to a system for processing a substrate and more particularly to a system for processing a substrate between a plurality of process facilities provided with a transfer module.

반도체 공정은 청정실 내에 제공된 복수의 공정 설비들에서 이루어진다. 일반적으로 각각의 공정 설비는 로드 포트, 이송 모듈, 그리고 처리 모듈을 가진다. 로드 포트에는 기판들이 수용된 용기가 놓인다. 이송 모듈은 로드 포트와 처리 모듈 사이에 배치되며, 이송 모듈에는 로드 포트에 놓인 용기와 처리 모듈 간에 기판을 반송하는 반송 로봇이 제공된다. 이와 같은 공정 설비의 일 예는 미국 공개 특허 2008/0255697 등에 개시되어 있다. The semiconductor process takes place in a plurality of process facilities provided in a clean room. In general, each process facility has a load port, a transfer module, and a processing module. The load port houses a container containing the substrates. The transfer module is disposed between the load port and the processing module, and the transfer module is provided with a transfer robot for transferring the substrate between the container placed in the load port and the processing module. An example of such a process facility is disclosed in US Published Patent 2008/0255697 and the like.

상술한 공정 설비들은 청정실 내에 서로 떨어져 배치된다. 기판들은 용기에 수납된 상태에서 반송 장치나 작업자에 의해 공정 설비들 간에 운송된다. The above-described process facilities are arranged apart from each other in the clean room. The substrates are transported between process facilities by a conveying device or by an operator in a container.

본 발명은 복수의 공정 설비들을 사용하여 공정 수행시 공정을 효율적으로 수행할 수 있는 기판 처리 시스템을 제공하는 것을 일 목적으로 한다.An object of the present invention is to provide a substrate processing system capable of efficiently performing a process when performing a process using a plurality of process facilities.

본 발명이 해결하고자 하는 과제는 여기에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The problem to be solved by the present invention is not limited thereto, and other problems not mentioned will be clearly understood by those skilled in the art from the following description.

본 발명은 기판 처리 시스템을 제공한다. 일 실시 예에 의하면, 기판 처리 시스템은 내부에 반송 로봇이 제공된 이송 모듈 및 상기 이송 모듈에 연결되는 처리 모듈을 각각 가지는 복수의 공정 설비들과, 인접하는 상기 이송 모듈 사이에 위치되며 이들 간에 기판 이송을 위해 제공되는 버퍼 스테이션을 포함한다. 상기 복수의 공정 설비들은 상기 이송 모듈들과 상기 버퍼 스테이션이 배열되는 방향을 따라 제공되는 연결 라인을 기준으로 상기 처리 모듈이 상기 연결 라인의 제 1 측에 위치되는 적어도 하나의 제 1 설비, 상기 연결 라인을 기준으로 상기 처리 모듈이 상기 연결 라인의 제 2 측에 위치되는 적어도 하나의 제 2 설비를 구비하며, 상기 제 1 설비에 제공되는 상기 이송 모듈은 상기 제 2 설비에 제공되는 상기 이송 모듈에 비해 상기 연결 라인을 기준으로 상기 제 1 측을 향해 더 돌출되게 제공된다. The present invention provides a substrate processing system. According to one embodiment, a substrate processing system is located between a plurality of process facilities each having a transfer module provided with a transfer robot therein and a processing module connected to the transfer module, and between the adjacent transfer modules, and transfer the substrate therebetween. It includes a buffer station provided for. The plurality of process facilities include at least one first facility in which the processing module is located on a first side of the connection line, based on a connection line provided along a direction in which the transfer modules and the buffer station are arranged, the connection The processing module has at least one second facility located on a second side of the connection line on a line basis, wherein the transport module provided to the first facility is connected to the transport module provided to the second facility. Compared to the connection line is provided to protrude more toward the first side.

다른 실시 예에 의하면, 기판 처리 시스템은 내부에 반송 로봇이 제공된 제 1 이송 모듈, 내부에 반송 로봇이 제공된 제 2 이송 모듈, 상기 제 1 이송 모듈과 상기 제 2 이송 모듈 사이에 배치되며 이들 간에 기판 이송을 위해 제공되는 버퍼 스테이션, 상기 제 1 이송 모듈, 상기 버퍼 스테이션, 상기 제 2 이송 모듈이 배열되는 방향인 연결 라인을 기준으로, 상기 연결 라인의 제 1 측에 위치되며 상기 제 1 이송 모듈에 결합되는 제 1 처리 모듈, 상기 연결 라인의 제 2 측에 위치되며 상기 제 2 이송 모듈에 결합되는 제 2 처리 모듈을 포함하며, 상기 제 1 이송 모듈은 상기 연결 라인을 기준으로 상기 제 2 이송 모듈보다 상기 제 1 측을 향해 더 돌출되게 제공된다.According to another embodiment, the substrate processing system includes a first transfer module provided with a transfer robot therein, a second transfer module provided with a transfer robot therein, a substrate disposed between the first transfer module and the second transfer module, and having a substrate therebetween. Positioned on the first side of the connection line with respect to the connection line in the direction in which the buffer station, the first transfer module, the buffer station and the second transfer module are arranged for transfer, A first processing module coupled thereto, a second processing module positioned on a second side of the connection line and coupled to the second transfer module, wherein the first transfer module is based on the connection line; More protruding toward the first side.

본 발명에 의하면, 공정 설비들 간에 기판 반송이 효율적으로 이루어질 수 있다.According to the present invention, substrate transfer can be efficiently performed between process facilities.

또한, 본 발명에 의하면, 복수의 공정 설비들의 설치되는 청정실의 제한된 공간을 효율적으로 사용할 수 있다.In addition, according to the present invention, it is possible to efficiently use the limited space of the clean room where the plurality of process facilities are installed.

도 1은 본 발명의 기판 처리 시스템의 일 예를 개략적으로 보여주는 평면도이다.
도 2는 도 1의 이송 모듈들과 버퍼 스테이션의 내부 구조를 개략적으로 보여주는 단면도이다
도 3은 도 2의 버퍼 부재의 일 예를 개략적으로 보여주는 사시도이다.
도 4는 도 2의 버퍼 부재의 다른 예를 개략적으로 보여주는 사시도이다.
도 5는 도 1의 버퍼 스테이션의 다른 예를 개략적으로 보여주는 사시도이다.
도 6은 도 1의 버퍼 스테이션의 또 다른 예를 개략적으로 보여주는 평면도이다.
도 7과 도 8은 각각 도 1의 버퍼 스테이션의 또 다른 예를 개략적으로 보여주는 사시도이다.
도 9는 도 1의 기판 처리 시스템에서 이송 모듈의 형상을 설명하기 위한 도면이다.
도 10 내지 도 15는 각각 도 1의 기판 처리 시스템의 다른 예를 개략적으로 보여주는 평면도들이다.
도 16은 도 15의 기판 처리 장치의 일 예를 개략적으로 보여주는 도면이다.
도 17 내지 도 19는 각각 기판 처리 시스템의 또 다른 예를 개략적으로 보여주는 평면도이다.
도 20은 도 19의 기판 처리 장치의 일 예를 개략적으로 보여주는 도면이다.
도 21 내지 23은 각각 기판 처리 시스템의 또 다른 예를 개략적으로 보여주는 평면도이다.
도 24 내지 26은 각각 도 1의 기판 처리 시스템이 복수 개 배치된 예를 개략적으로 보여주는 평면도이다.
도 27 내지 도 29는 각각 기판 처리 시스템에서 기판의 반송 경로를 개략적으로 보여주는 도면들이다.
1 is a plan view schematically showing an example of a substrate processing system of the present invention.
2 is a cross-sectional view schematically showing the internal structure of the transfer modules and the buffer station of FIG.
3 is a perspective view schematically illustrating an example of the buffer member of FIG. 2.
4 is a perspective view schematically illustrating another example of the buffer member of FIG. 2.
5 is a perspective view schematically showing another example of the buffer station of FIG. 1.
6 is a plan view schematically illustrating another example of the buffer station of FIG. 1.
7 and 8 are perspective views schematically showing yet another example of the buffer station of FIG. 1, respectively.
9 is a view for explaining the shape of the transfer module in the substrate processing system of FIG.
10 through 15 are plan views schematically illustrating another example of the substrate processing system of FIG. 1.
16 is a diagram schematically illustrating an example of the substrate processing apparatus of FIG. 15.
17-19 are plan views schematically showing yet another example of the substrate processing system, respectively.
20 is a view schematically illustrating an example of the substrate processing apparatus of FIG. 19.
21-23 are plan views schematically showing yet another example of the substrate processing system, respectively.
24 to 26 are plan views schematically illustrating an example in which a plurality of substrate processing systems of FIG. 1 are disposed.
27 to 29 are views schematically showing a conveyance path of a substrate in the substrate processing system, respectively.

이하, 본 발명에 의한 실시 예를 첨부된 도면 도 1 내지 도 29를 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다. Hereinafter, exemplary embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 29. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more completely explain the present invention to those skilled in the art. Therefore, the shape of the elements in the drawings are exaggerated to emphasize a more clear description.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 시스템(1000)을 개략적으로 보여주는 사시도이다. 도 1을 참고하면, 기판 처리 시스템(1000)은 복수의 공정 설비(1200)와 복수의 버퍼 스테이션(1400)을 가진다. 각각의 공정 설비(1200)는 로드 포트(1220), 이송 모듈(1240), 그리고 처리 모듈(1260)을 가질 수 있다. 하나의 공정 설비(1200)에서 로드 포트(1220), 이송 모듈(1240), 그리고 처리 모듈(1260)은 순차적으로 일직선으로 배열되도록 제공될 수 있다. 서로 다른 공정 설비(1200)에 속하는 이송 모듈들(1240)은 서로 간에 일직선으로 배열되도록 위치될 수 있다. 버퍼 스테이션(1400)은 인접하는 공정 설비들(1200)의 이송 모듈(1240) 사이에 배치될 수 있다. 상부에서 바라볼 때 하나의 공정 설비(1200)에서 로드 포트(1220), 이송 모듈(1240), 그리고 처리 모듈(1260)이 배열되는 방향은 이송 모듈들(1240) 및 버퍼 스테이션(1400)이 배열되는 방향과 수직하게 제공될 수 있다. 이하, 이송 모듈(1240)들 및 버퍼 스테이션(1400)이 배열되는 방향을 제 1 방향(10)이라 하고, 하나의 공정 설비(1200)에서 로드 포트(1220), 이송 모듈(1240), 그리고 처리 모듈(1260)이 배열되는 방향을 제 2 방향(20)이라 한다. 1 is a schematic perspective view of a substrate processing system 1000 according to an exemplary embodiment. Referring to FIG. 1, the substrate processing system 1000 has a plurality of process facilities 1200 and a plurality of buffer stations 1400. Each process facility 1200 may have a load port 1220, a transfer module 1240, and a processing module 1260. In one process facility 1200, the load port 1220, the transfer module 1240, and the processing module 1260 may be provided to be sequentially arranged in a straight line. The transfer modules 1240 belonging to different process facilities 1200 may be positioned to be aligned in a straight line with each other. The buffer station 1400 may be disposed between transfer modules 1240 of adjacent process facilities 1200. When viewed from the top, the direction in which the load port 1220, the transfer module 1240, and the processing module 1260 are arranged in one process facility 1200 is arranged in the transfer modules 1240 and the buffer station 1400. It may be provided perpendicular to the direction to be. Hereinafter, the direction in which the transfer modules 1240 and the buffer station 1400 are arranged is referred to as a first direction 10, and the load port 1220, the transfer module 1240, and the processing in one process facility 1200 are described below. The direction in which the module 1260 is arranged is called the second direction 20.

로드 포트(1220)에는 기판들이 수납되는 용기(30)가 놓인다. 용기(30)는 오버헤드 트랜스퍼(overhead transfer)와 같은 반송 장치(40)에 의해 로드 포트(1220)에 로딩 또는 언로딩될 수 있다. 선택적으로 용기(30)는 자동 안내 차량(automatic guided vehicle), 레일 안내 차량(rail guided vehicle), 또는 작업자에 의해 로드 포트(1220)에 로딩 또는 언로딩될 수 있다. 용기(30)로는 밀폐형 용기인 전면 개방 일체식 포드(front open unified pod)가 사용될 수 있다. 각각의 공정 설비(1200)에서 로드 포트(1220)는 하나 또는 복수 개가 제공될 수 있다. 로드 포트(1220)가 복수 개 제공되는 경우, 로드 포트(1220)들은 제 1 방향(10)을 따라 일렬로 제공될 수 있다. 로드 포트(1220)들은 서로 간에 밀접하게 위치되도록 제공될 수 있다. 도 1에서는 각각의 공정 설비(1200)에 두 개의 로드 포트(1220)가 제공되는 것으로 도시하였다. 그러나 각각의 공정 설비(1200)에 제공된 로드 포트(1220)의 수는 이와 상이할 수 있다. 또한, 공정 설비들(1200)은 서로 간에 상이한 수의 로드 포트(1220)를 가질 수 있다.The load port 1220 includes a container 30 in which substrates are stored. The vessel 30 may be loaded or unloaded into the load port 1220 by a conveying device 40, such as an overhead transfer. Optionally, the vessel 30 may be loaded or unloaded into the load port 1220 by an automatic guided vehicle, rail guided vehicle, or operator. As the container 30, a front open unified pod, which is a closed container, may be used. In each process facility 1200, one or more load ports 1220 may be provided. When a plurality of load ports 1220 are provided, the load ports 1220 may be provided in a line along the first direction 10. The load ports 1220 may be provided to be closely located with each other. In FIG. 1, two load ports 1220 are provided at each process facility 1200. However, the number of load ports 1220 provided to each process facility 1200 may be different. In addition, the process facilities 1200 may have different numbers of load ports 1220 between each other.

이송 모듈(1240)은 하우징(1250)과 반송 로봇(1242)을 가진다. 하우징(1250)은 대체로 직육면체 형상을 가진다. 하우징(1250)은 상면(도 2의 1251), 저면(도 2의 1252), 제 1 측면(1253), 제 2 측면(1254), 제 3 측면(1255), 그리고 제 4 측면(1256)을 가진다. 제 1 측면(1253)과 제 3 측면(1255)은 서로 마주보게 제공되고, 제 2 측면(1254)과 제 4 측면(1256)은 서로 마주보게 제공된다. 또한, 제 1 측면(1253)은 제 2 측면(1254)에 대해 수직하게 제공된다. 제 1 측면(1253)은 로드 포트(1220)와 마주보고, 제 3 측면(1255)은 처리 모듈(1260)과 마주본다. The transfer module 1240 has a housing 1250 and a transfer robot 1242. Housing 1250 has a generally cuboidal shape. The housing 1250 has an upper surface (1251 of FIG. 2), a bottom surface (1252 of FIG. 2), a first side 1253, a second side 1254, a third side 1255, and a fourth side 1256. Have The first side 1253 and the third side 1255 are provided facing each other, and the second side 1254 and the fourth side 1256 are provided facing each other. In addition, the first side 1253 is provided perpendicular to the second side 1254. The first side 1253 faces the load port 1220 and the third side 1255 faces the processing module 1260.

하우징(1250)의 제 1 측면(1253)에는 용기(30) 내의 기판이 출입하기 위한 개구(도시되지 않음) 및 이를 개폐하는 도어(도시되지 않음)가 제공될 수 있다. 하우징(1250)의 제 3 측면(1255)에는 처리 모듈(1260)로 기판이 출입하기 위한 개구(도시되지 않음) 및 이를 개폐하는 도어(도시되지 않음)가 제공될 수 있다. 또한, 하우징(1250)의 제 2 측면(1254) 또는/및 제 4 측면(1256)에는 각각 버퍼 스테이션(1400)으로 기판의 출입을 위한 개구(도 2의 1257)가 형성될 수 있다. 또한, 하우징(1250) 내에는 용기(30)의 도어를 개방하기 위한 도어 오프너(도시되지 않음)가 제공될 수 있다. 하우징(1250)은 그 내부 영역이 외부와 격리되도록 제공된다. 하우징(1250)의 상면에는 팬 필터 유닛(도시되지 않음)이 제공되어, 하우징(1250) 내에서 정류된 공기가 위에서 아래 방향으로 흐르도록 안내할 수 있다. 이에 의해 하우징(1250) 내부는 외부보다 더 청정하게 유지될 수 있다.The first side 1253 of the housing 1250 may be provided with an opening (not shown) for entering and exiting a substrate in the container 30 and a door (not shown) for opening and closing it. The third side surface 1255 of the housing 1250 may be provided with an opening (not shown) and a door (not shown) for opening and closing the substrate to and from the processing module 1260. In addition, an opening (1257 of FIG. 2) may be formed at the second side 1254 or the fourth side 1256 of the housing 1250 to allow the substrate to enter and exit the buffer station 1400, respectively. In addition, a door opener (not shown) may be provided in the housing 1250 to open the door of the container 30. The housing 1250 is provided such that its inner region is isolated from the outside. A fan filter unit (not shown) may be provided on the upper surface of the housing 1250 to guide the air rectified in the housing 1250 to flow from the top to the bottom. As a result, the inside of the housing 1250 may be kept cleaner than the outside.

반송 로봇(1242)은 로드 포트(1220)에 놓인 용기(30), 처리 모듈(1260), 그리고 버퍼 스테이션(1400) 간에 기판을 반송한다. 반송 로봇(1242)은 하우징(1250) 내 중앙에 위치될 수 있다. 반송 로봇(1242)은 상하 이동 가능하게 제공될 수 있다. 반송 로봇(1242)의 핸드(1244)는 수평면상에서 전진, 후진 및 회전 등이 가능하도록 제공될 수 있다. 핸드(1244)는 하나 또는 복수 개가 제공될 수 있다. 도 1에서는 두 개의 핸드(1244)를 가진 반송 로봇(1242)이 도시되었다.The transfer robot 1242 transfers the substrate between the container 30 placed in the load port 1220, the processing module 1260, and the buffer station 1400. The transfer robot 1242 may be located centrally in the housing 1250. The transfer robot 1242 may be provided to be movable up and down. The hand 1244 of the transfer robot 1242 may be provided to enable forward, backward and rotation on the horizontal plane. One or more hands 1244 may be provided. In FIG. 1, a carrier robot 1242 with two hands 1244 is shown.

처리 모듈(1260)은 로드록 챔버(loadlock chamber)(1262), 트랜스퍼 챔버(transfer chamber)(1264), 그리고 공정 챔버(process chamber)(1266)를 가진다. The processing module 1260 has a loadlock chamber 1262, a transfer chamber 1264, and a process chamber 1266.

트랜스퍼 챔버(1264)는 상부에서 바라볼 때 대체로 다각형의 형상을 가질 수 있다. 도 1에서는 트랜스퍼 챔버(1264)가 상부에서 바라볼 때 육각형의 형상을 가지는 것으로 도시되었다. 그러나 트랜스퍼 챔버(1264)의 형상은 다양하게 변경될 수 있다. 트랜스퍼 챔버(1264)의 내부에는 반송 로봇(1268)이 제공된다. 반송 로봇(1268)은 상하 이동 가능하게 제공될 수 있다. 반송 로봇(1268)의 핸드(1269)는 수평면상에서 전진, 후진 및 회전 등이 가능하도록 제공될 수 있다. 핸드(1269)는 하나 또는 복수 개가 제공될 수 있다. 도 1에서는 두 개의 핸드(1269)를 가진 반송 로봇(1268)이 도시되었다.The transfer chamber 1264 may have a generally polygonal shape when viewed from the top. In FIG. 1, the transfer chamber 1264 is shown to have a hexagonal shape when viewed from the top. However, the shape of the transfer chamber 1264 may be variously changed. The transfer robot 1268 is provided inside the transfer chamber 1264. The transfer robot 1268 may be provided to be movable up and down. The hand 1269 of the transfer robot 1268 may be provided to enable forward, backward and rotation on the horizontal plane. One or more hands 1269 may be provided. In FIG. 1, a carrier robot 1268 with two hands 1269 is shown.

트랜스퍼 챔버(1264)의 둘레에는 로드록 챔버(1262)와 공정 챔버(1266)가 제공된다. 로드록 챔버(1262)는 트랜스퍼 챔버(1264)의 측부들 중 이송 모듈(1240)과 인접한 측부에 위치되고, 공정 챔버(1266)는 트랜스퍼 챔버(1264)의 다른 측부에 위치된다. 로드록 챔버(1262)는 하나 또는 복수 개가 제공될 수 있다. 일 예에 의하면, 로드록 챔버(1262)는 두 개가 제공된다. 두 개의 로드록 챔버(1262)들 중 하나에는 공정 진행을 위해 처리 모듈(1260)로 유입되는 기판들이 일시적으로 머무르고, 다른 하나에는 공정이 완료되어 처리 모듈(1260)로부터 유출되는 기판들이 일시적으로 머무를 수 있다. 이와 달리 로드록 챔버(1262)는 하나 또는 복수 개 제공되고, 각각의 로드록 챔버(1262)에는 공정 처리 전의 기판 및 공정이 완료된 기판이 모두 머무를 수 있다. 트랜스퍼 챔버(1264) 및 공정 챔버(1266) 내부는 제 1 압력으로 유지되고, 이송 모듈(1240) 내부는 제 2 압력으로 유지되며, 로드록 챔버(1262) 내부는 제 1 압력 및 제 2 압력으로 전환될 수 있다. 제 1 압력은 제 2 압력보다 낮은 압력일 수 있다. 예컨대, 제 1 압력은 진공압이고, 제 2 압력은 대기압일 수 있다.A load lock chamber 1262 and a process chamber 1266 are provided around the transfer chamber 1264. The loadlock chamber 1262 is located on the side adjacent to the transfer module 1240 of the sides of the transfer chamber 1264, and the process chamber 1266 is located on the other side of the transfer chamber 1264. One or more loadlock chambers 1262 may be provided. In one example, two loadlock chambers 1262 are provided. One of the two loadlock chambers 1262 temporarily holds the substrates entering the processing module 1260 for process progression, and the other is the substrate completed leaving the processing module 1260 temporarily for processing. Can be. Alternatively, one or more load lock chambers 1262 may be provided, and each of the load lock chambers 1262 may retain both the substrate before the process and the substrate on which the process is completed. The interior of the transfer chamber 1264 and the process chamber 1266 is maintained at a first pressure, the interior of the transfer module 1240 is maintained at a second pressure, and the interior of the load lock chamber 1262 is at a first pressure and a second pressure. Can be switched. The first pressure may be a pressure lower than the second pressure. For example, the first pressure may be vacuum and the second pressure may be atmospheric.

공정 챔버(1266)는 기판에 대해 소정의 공정을 수행한다. 예컨대, 공정 챔버(1266)는 세정, 애싱, 증착, 식각, 또는 측정 등과 같은 공정을 수행할 수 있다. 공정 챔버(1266)는 트랜스퍼 챔버(1264)의 측부에 하나 또는 복수 개가 제공될 수 있다. 공정 챔버(1266)는 로드록 챔버(1262)가 제공된 트랜스퍼 챔버(1264)의 측부를 제외한 다른 측부 각각에 제공되거나, 이들 중 일부 측부에만 제공될 수 있다. 공정 챔버(1266)가 복수 개 제공되는 경우, 공정 챔버들(1266)은 기판에 대해 서로 동일한 공정을 수행할 수 있다. 선택적으로 공정 챔버들(1266)은 서로 상이한 공정을 수행할 수 있다. 공정 챔버(1266)는 진공 압에서 기판에 대해 공정을 수행하는 구조를 가질 수 있다. 선택적으로 공정 챔버(1266)는 대기압에서 기판에 대해 공정을 수행하는 구조를 가질 수 있다.Process chamber 1266 performs a predetermined process on the substrate. For example, process chamber 1266 may perform a process such as cleaning, ashing, deposition, etching, or measuring. One or more process chambers 1266 may be provided on the side of the transfer chamber 1264. The process chamber 1266 may be provided on each of the other sides except for the side of the transfer chamber 1264 provided with the loadlock chamber 1262, or may be provided only on some of them. When a plurality of process chambers 1266 are provided, the process chambers 1266 may perform the same process with respect to the substrate. Optionally, the process chambers 1266 may perform different processes from one another. Process chamber 1266 may have a structure that performs a process on a substrate at vacuum pressure. Optionally, process chamber 1266 may have a structure that performs a process on a substrate at atmospheric pressure.

버퍼 스테이션(1400)은 인접하는 이송 모듈들(1240a, 1240b) 사이에 각각 제공될 수 있다. 도 2는 이송 모듈(1240a, 1240b)들 사이에 제공된 버퍼 스테이션(1400)의 일 예를 보여주는 도면이고, 도 3은 도 2의 버퍼 부재(1440)를 보여주는 사시도이다. 도 2와 도 3을 참고하면, 버퍼 스테이션(1400)은 하우징(1420)과 버퍼 부재(1440)를 가진다. 하우징(1420)은 내부에 공간이 제공된 직육면체의 통 형상으로 제공될 수 있다. 하우징(1420)의 일단은 제 1 이송 모듈(1240a)에 결합되고, 하우징(1420)의 타단은 제 2 이송 모듈(1240b)에 결합된다. 하우징(1420)의 일 단 및 타 단은 제 1 방향(10)을 따라 이격되며, 서로 마주보는 면일 수 있다. Buffer station 1400 may be provided between adjacent transfer modules 1240a and 1240b, respectively. 2 is a diagram illustrating an example of a buffer station 1400 provided between transfer modules 1240a and 1240b, and FIG. 3 is a perspective view illustrating the buffer member 1440 of FIG. 2. 2 and 3, the buffer station 1400 has a housing 1420 and a buffer member 1440. The housing 1420 may be provided in a cylindrical shape of a rectangular parallelepiped provided with a space therein. One end of the housing 1420 is coupled to the first transfer module 1240a, and the other end of the housing 1420 is coupled to the second transfer module 1240b. One end and the other end of the housing 1420 may be spaced apart along the first direction 10 and face each other.

버퍼 부재(1440)는 하우징(1420) 내에 고정 설치될 수 있다. 버퍼 부재(1440)는 몸체(1442)와 복수 개의 버퍼(1444)를 가질 수 있다. 몸체(1442)는 대체로 직육면체의 통 형상을 가지고, 제 1 방향(10)에 수직한 면들은 개방된다. 버퍼(1442)는 몸체(1444)의 내부에 제공된다. 버퍼들(1442)은 상하 방향으로 이격되게 제공된다. 각각의 버퍼(1442)는 기판의 에지 부분을 지지하는 두 개의 플레이트(1444a, 1444b)를 가진다. 플레이트들(1444a, 1444b)은 서로 간에 제 2 방향(20)을 따라 이격되게 제공된다. 반송 로봇(1242)의 핸드(1244)는 플레이트들(1444a, 1444b) 사이의 이격된 공간을 따라 상하 방향으로 이동될 수 있다. 버퍼 스테이션(1400)의 양측에 위치된 이송 모듈(1240a, 1240b)의 반송 로봇(1242)은 모두 버퍼(1444)로 기판을 로딩 및 언로딩 할 수 있도록 제공된다. 상술한 바와 달리, 버퍼 부재(1440)는 도 4와 같이 하나의 버퍼(1445)를 가질 수 있다. The buffer member 1440 may be fixedly installed in the housing 1420. The buffer member 1440 may have a body 1442 and a plurality of buffers 1444. The body 1442 has a generally rectangular parallelepiped shape and faces perpendicular to the first direction 10 are open. The buffer 1442 is provided inside the body 1444. The buffers 1442 are provided spaced apart in the vertical direction. Each buffer 1442 has two plates 1444a and 1444b that support the edge portions of the substrate. The plates 1444a and 1444b are provided spaced apart from each other along the second direction 20. The hand 1244 of the transfer robot 1242 may be moved up and down along the spaced space between the plates 1444a and 1444b. The transfer robots 1242 of the transfer modules 1240a and 1240b located at both sides of the buffer station 1400 are provided to load and unload the substrate into the buffer 1444. As described above, the buffer member 1440 may have one buffer 1445 as shown in FIG. 4.

다시 도 2를 참조하면, 이송 모듈(1240a, 1240b)의 하우징(1250) 내에는 버퍼 스테이션(1400)과 마주보는 면에 형성된 개구(1257)를 개폐하는 도어(1258)가 제공될 수 있다. 공정 설비들(1200) 중 어느 하나에 에러가 발생된 경우, 도어(1258)로 개구(1257)를 폐쇄하고, 각각의 공정 설비(1200)를 다른 공정 설비들(1200)에 대해 분리하여 독립적으로 사용할 수 있다. 이 경우, 공정 설비들(1200) 간에 기판의 이송은 기판을 용기(30)에 수납한 상태에서 오버헤드 트랜스퍼(40)를 통해 이루어질 수 있다. 선택적으로 공정 설비들(1200) 간에 기판이 수납된 용기(3)는 자동 안내 차량(AGV), 레일 안내 차량(RGV), 또는 작업자에 의해 운송될 수 있다. Referring back to FIG. 2, a door 1258 may be provided in the housing 1250 of the transfer modules 1240a and 1240b to open and close the opening 1257 formed on a surface facing the buffer station 1400. If an error occurs in any of the process facilities 1200, the opening 1257 is closed by the door 1258, and each process facility 1200 is separated from the other process facilities 1200 independently. Can be used. In this case, transfer of the substrate between the process facilities 1200 may be performed through the overhead transfer 40 in a state in which the substrate is accommodated in the container 30. Optionally, the container 3 in which the substrate is accommodated between the process facilities 1200 may be transported by an automatic guided vehicle AGV, a rail guided vehicle RGV, or an operator.

도 5는 버퍼 스테이션(1401)의 다른 예를 보여주는 도면이다. 도 5에서 버퍼 스테이션(1401)은 그 내부를 보여주기 위해 하우징(1421)이 제거되었다. 도 5를 참조하면, 버퍼 스테이션(1401)은 하우징(1421), 버퍼 부재(1441), 그리고 버퍼 구동 부재(1460)를 가진다. 도 5의 하우징(1421) 및 버퍼 부재(1441)는 도 2 및 도 3의 하우징(1420) 및 버퍼 부재(1440)와 대체로 유사한 구조를 가진다. 다만, 도 5의 하우징(1421)은 도 2의 하우징(1420)에 비해 제 1 방향(10)을 따라 더 긴 길이를 가진다. 버퍼 구동 부재(1460)는 버퍼 부재(1441)를 하우징(1421) 내에서 제 1 방향(10)을 따라 제 1 위치 및 제 2 위치 간에 이동시킨다. 제 1 위치는 버퍼 스테이션(1401)의 일 측에 위치한 이송 모듈(1240a; 이하, 제 1 이송 모듈)과 인접한 위치이고, 제 2 위치는 버퍼 스테이션(1401)의 타 측에 위치한 다른 이송 모듈(1240b; 이하, 제 2 이송 모듈)에 인접한 위치이다. 버퍼 구동 부재(1460)는 안내 레일(1461) 및 베이스(1462)를 가진다. 안내 레일(1461)은 하우징(1421) 내에 그 길이 방향이 제 1 방향(10)과 평행하게 배치되며, 제 1 위치에서 제 2 위치까지 연장되게 제공된다. 베이스(1462)는 구동기(도시되지 않음)에 의해 안내 레일(1461)을 따라 이동 가능하도록 안내 레일(1461)에 결합된다. 버퍼 부재(1441)는 베이스(1462)에 고정 결합되어, 베이스(1462)와 함께 이동된다. 도 5의 버퍼 스테이션(1401)은 인접하는 이송 모듈들(1240a, 1240b) 간에 거리가 비교적 멀 때 사용될 수 있다. 5 is a diagram illustrating another example of the buffer station 1401. In FIG. 5, the buffer station 1401 has been removed from the housing 1421 to show its interior. Referring to FIG. 5, the buffer station 1401 has a housing 1421, a buffer member 1442, and a buffer drive member 1460. The housing 1421 and the buffer member 1442 of FIG. 5 have a structure generally similar to the housing 1420 and the buffer member 1440 of FIGS. 2 and 3. However, the housing 1421 of FIG. 5 has a longer length along the first direction 10 than the housing 1420 of FIG. 2. The buffer drive member 1460 moves the buffer member 1442 between the first position and the second position along the first direction 10 in the housing 1421. The first position is a position adjacent to the transfer module 1240a (hereinafter referred to as a first transfer module) located on one side of the buffer station 1401, and the second position is another transfer module 1240b located on the other side of the buffer station 1401. Below is the position adjacent to the second transfer module). The buffer drive member 1460 has a guide rail 1462 and a base 1462. The guide rail 1462 is provided in the housing 1421 in a longitudinal direction thereof in parallel with the first direction 10 and extending from the first position to the second position. The base 1462 is coupled to the guide rail 1461 so as to be movable along the guide rail 1461 by a driver (not shown). The buffer member 1442 is fixedly coupled to the base 1462 and moved with the base 1462. The buffer station 1401 of FIG. 5 may be used when the distance between adjacent transfer modules 1240a and 1240b is relatively large.

도 6은 버퍼 스테이션(1402)의 또 다른 예를 개략적으로 보여주는 평면도이다. 도 6을 참조하면, 버퍼 스테이션(1402)은 하우징(1422), 제 1 버퍼 부재(1442a), 제 2 버퍼 부재(1442b), 그리고 반송 부재(1480)를 가진다. 도 6의 하우징(1422)은 도 2의 하우징(1420)과 유사한 구조를 가지고, 도 6의 제 1 버퍼 부재(1442a)와 제 2 버퍼 부재(1442b)는 모두 도 3의 버퍼 부재(1440)와 대체로 유사한 구조를 가질 수 있다. 다만, 도 6의 하우징(1422)은 도 2의 하우징(1420)에 비해 제 1 방향(10)을 따라 더 긴 길이를 가진다. 제 1 버퍼 부재(1442a)는 버퍼 스테이션(1402)의 일 측에 위치한 제 1 이송 모듈(1240a)과 인접하게 위치하고, 제 2 버퍼 부재(1442b)는 버퍼 스테이션(1402)의 타 측에 위치한 제 2 이송 모듈(1240b)에 인접하게 위치한다. 반송 부재(1480)는 제 1 버퍼 부재(1442a)와 제 2 버퍼 부재(1442b) 간에 기판을 이동시킨다. 반송 부재(1480)는 안내 레일(1481) 및 반송 로봇(1482)을 가진다. 안내 레일(1481)은 하우징(1422) 내에 그 길이 방향이 제 1 방향(10)과 평행하게 배치되며, 제 1 버퍼 부재(1442a)와 인접한 위치에서 제 2 버퍼 부재(1442b)와 인접한 위치까지 연장되게 제공된다. 반송 로봇(1482)은 구동기(도시되지 않음)에 의해 안내 레일(1481)을 따라 이동 가능하도록 안내 레일(1481)에 결합된다. 반송 로봇(1482)은 제 1 버퍼 부재(1442a)에서 제 2 버퍼 부재(1442b)로 기판을 반송한다. 반송 로봇(1482)은 하나 또는 복수의 핸드(1483)를 가진다. 예컨대, 반송 로봇(1482)은 2개의 핸드를 가지거나, 제 1 버퍼 부재(1442a)에 적재 가능한 기판의 수와 동일한 수의 핸드를 가질 수 있다. 도 6의 버퍼 스테이션(1402)은 인접하는 이송 모듈들(1240a, 1240b) 간에 거리가 비교적 멀 때 사용될 수 있다. 6 is a plan view schematically showing another example of the buffer station 1402. Referring to FIG. 6, the buffer station 1402 has a housing 1422, a first buffer member 1442a, a second buffer member 1442b, and a conveying member 1480. The housing 1422 of FIG. 6 has a structure similar to that of the housing 1420 of FIG. 2, and the first buffer member 1442a and the second buffer member 1442b of FIG. 6 are all different from the buffer member 1440 of FIG. 3. It can have a generally similar structure. However, the housing 1422 of FIG. 6 has a longer length along the first direction 10 than the housing 1420 of FIG. 2. The first buffer member 1442a is located adjacent to the first transfer module 1240a located on one side of the buffer station 1402, and the second buffer member 1442b is located on the other side of the buffer station 1402. It is located adjacent to the transfer module 1240b. The conveying member 1480 moves the substrate between the first buffer member 1442a and the second buffer member 1442b. The conveying member 1480 has a guide rail 1441 and a conveying robot 1462. The guide rail 1441 is disposed in the housing 1422 in a longitudinal direction thereof in parallel with the first direction 10 and extends from a position adjacent to the first buffer member 1442a to a position adjacent to the second buffer member 1442b. Is provided. The transfer robot 1462 is coupled to the guide rail 1441 so as to be movable along the guide rail 1441 by a driver (not shown). The transfer robot 1462 transfers the substrate from the first buffer member 1442a to the second buffer member 1442b. The transfer robot 1462 has one or a plurality of hands 1483. For example, the transfer robot 1462 may have two hands, or may have the same number of hands as the number of substrates stackable on the first buffer member 1442a. The buffer station 1402 of FIG. 6 may be used when the distance between adjacent transfer modules 1240a and 1240b is relatively large.

다시 도 1을 참조하면, 버퍼 스테이션(1400)은 이송 모듈들(1240)과 일체로 제공될 수 있다. 선택적으로 버퍼 스테이션(1400)은 이송 모듈들(1240)에 탈착 가능하게 제공될 수 있다. Referring back to FIG. 1, the buffer station 1400 may be provided integrally with the transfer modules 1240. Optionally, the buffer station 1400 may be detachably provided to the transfer modules 1240.

기판 처리 시스템(1000)은 다음과 같이 설치될 수 있다. 공정 설비(1200)와 버퍼 스테이션(1400)을 순차적으로 반복해서 설치하고, 마지막으로 공정 설비(1200)를 설치할 수 있다. 버퍼 스테이션(1400)을 설치시에는 바로 전에 설치한 공정 설비(1200)의 이송 모듈(1240)에 밀착되게 설치하고, 공정 설비(1200)를 설치시에는 이송 모듈(1240)이 바로 전에 설치한 버퍼 스테이션(1400)에 밀착되게 설치한다. 이후 이송 모듈(1240)과 버퍼 스테이션(1400)을 스크류 등과 같은 체결 부재(도시되지 않음)를 이용하여 고정시킨다. The substrate processing system 1000 may be installed as follows. The process equipment 1200 and the buffer station 1400 may be sequentially and repeatedly installed, and finally, the process equipment 1200 may be installed. When the buffer station 1400 is installed, it is installed in close contact with the transfer module 1240 of the process equipment 1200 installed just before, and when the process equipment 1200 is installed, the buffer installed immediately before the transfer module 1240 is installed. It is installed in close contact with the station 1400. Thereafter, the transfer module 1240 and the buffer station 1400 are fixed using a fastening member (not shown) such as a screw.

선택적으로 기판 처리 시스템(1000)은 다음과 같이 설치될 수 있다. 먼저, 이송 모듈들(1240)이 일정 간격 이격되게 위치되도록 공정 설비들(1200)을 설치한다. 이후 이송 모듈들(1240) 사이 각각에 버퍼 스테이션(1400)을 설치한다. 이 경우 버퍼 스테이션(1400)은 제 1 방향(10)을 따른 길이가 변경되도록 제공될 수 있다. 버퍼 스테이션(1400)을 이송 모듈들(1240) 사이에 위치시킨 다음 버퍼 스테이션(1400)의 길이를 늘여서 버퍼 스테이션(1400)과 이송 모듈들(1240)을 밀착시킨다. 이후 버퍼 스테이션(1400)과 이송 모듈(1240)을 스크류 등과 같은 체결 부재(도시되지 않음)를 이용하여 고정시킨다. Optionally, the substrate processing system 1000 may be installed as follows. First, process equipments 1200 are installed such that the transfer modules 1240 are spaced apart from each other at regular intervals. Thereafter, a buffer station 1400 is installed between each of the transfer modules 1240. In this case, the buffer station 1400 may be provided to change the length along the first direction 10. The buffer station 1400 is positioned between the transfer modules 1240 and then the length of the buffer station 1400 is extended to bring the buffer station 1400 and the transfer modules 1240 into close contact. Thereafter, the buffer station 1400 and the transfer module 1240 are fixed using a fastening member (not shown) such as a screw.

도 7은 길이 변경이 가능한 버퍼 스테이션(1403)의 일 예가 도시된 사시도이다. 도 7을 참조하면, 버퍼 스테이션(1403)의 하우징(1423)은 바디(1423a), 벨로우즈(1423b), 그리고 체결 플레이트들(1423c)을 가진다. 바디(1423a)는 제 1 방향(10)을 따른 길이가 변경되지 않는 구조 또는 재질로 제공된다. 벨로우즈(1423b)는 바디(1423a)로부터 연장되며, 제 1 방향(10)을 따라 길이가 신축 가능하게 제공된다. 체결 플레이트(1423c)는 바디(1423a)의 끝단 및 벨로우즈(1423b)의 끝단에 각각 제공된다. 버퍼 스테이션(1403)과 이송 모듈들(1240)의 결합을 위해 처음에는 벨로우즈(1423b)의 길이가 줄어든 상태에서 버퍼 스테이션(1403)을 이송 모듈들(1240) 사이에 위치시킨다. 이때 바디(1423a)에 결합된 체결 플레이트(1423c)가 이송 모듈(1240)에 밀착되게 하고, 체결 부재(도시되지 않음)로 이들을 결합시킨다. 이후, 벨로우즈(1423b)에 결합된 체결 플레이트(1423c)가 다른 이송 모듈(1240)에 밀착되도록 벨로우즈(1423b)의 길이를 늘이고, 이후 체결 부재(도시되지 않음)로 이들을 결합시킨다. 7 is a perspective view illustrating an example of a buffer station 1403 capable of changing a length. Referring to FIG. 7, the housing 1423 of the buffer station 1403 has a body 1423a, a bellows 1423b, and fastening plates 1423c. The body 1423a is provided in a structure or material in which the length along the first direction 10 is not changed. The bellows 1423b extends from the body 1423a and is provided elastically in length along the first direction 10. Fastening plate 1423c is provided at the end of body 1423a and the end of bellows 1423b, respectively. For coupling the buffer station 1403 and the transfer modules 1240, the buffer station 1403 is initially positioned between the transfer modules 1240 in a state in which the bellows 1423b is reduced in length. At this time, the fastening plate 1423c coupled to the body 1423a is brought into close contact with the transfer module 1240, and the fastening members (not shown) are coupled to each other. Thereafter, the length of the bellows 1423b is extended so that the fastening plate 1423c coupled to the bellows 1423b closely contacts the other transfer module 1240, and then joins them with a fastening member (not shown).

선택적으로 도 7과 달리 하우징은 바디의 양측에 각각 벨로우즈를 가질 수 있다. 또한, 선택적으로 하우징은 그 전체 영역이 벨로우즈로 제공될 수 있다. Optionally, unlike FIG. 7, the housing may have bellows on each side of the body. In addition, the housing may optionally be provided with its entire area as a bellows.

도 8은 길이 변경이 가능한 버퍼 스테이션(1404)의 다른 예가 도시된 사시도이다. 도 8을 참조하면, 하우징(1424)은 제 1 바디(1424a), 제 2 바디(1424b), 그리고 체결 플레이트(1424c)를 가진다. 제 2 바디(1424b)는 제 1 방향(10)을 따라 제 1 바디(1424a)로부터 돌출 및 삽입될 수 있도록 제 1 바디(1424a)에 결합된다. 즉, 하우징(1424)은 텔레스코프(telescope) 방식에 의해 제 1 방향(10)을 따라 길이가 가변 될 수 있다. 제 1 바디(1424a)의 끝단 및 제 2 바디(1424b)의 끝단에는 각각 체결 플레이트(1424c)가 제공된다. 버퍼 스테이션(1404)과 이송 모듈들(1240)의 결합을 위해 처음에는 제 2 바디(1424b)가 제 1 바디(1424a)에 삽입된 상태에서 버퍼 스테이션(1404)을 이송 모듈들(1240) 사이에 위치시킨다. 이때 제 1 바디(1424a)에 결합된 체결 플레이트(1424c)가 이송 모듈(1240)에 밀착되게 하고, 체결 부재(도시되지 않음)로 이들을 결합시킨다. 이후, 제 2 바디(1424b)에 결합된 체결 플레이트(1424c)가 다른 이송 모듈(1240)에 밀착되도록 제 2 바디(1424b)를 제 1 바디(1424a)로부터 돌출시키고, 이후 체결 부재(도시되지 않음)로 이들을 결합시킨다. 8 is a perspective view showing another example of a buffer station 1404 whose length can be changed. Referring to FIG. 8, the housing 1424 has a first body 1424a, a second body 1424b, and a fastening plate 1424c. The second body 1424b is coupled to the first body 1424a so as to protrude and be inserted from the first body 1424a along the first direction 10. That is, the housing 1424 may vary in length along the first direction 10 by a telescope method. Fastening plates 1424c are provided at the ends of the first body 1424a and the ends of the second body 1424b, respectively. For coupling the buffer station 1404 and the transfer modules 1240, the buffer station 1404 is initially moved between the transfer modules 1240 with the second body 1424b inserted into the first body 1424a. Position it. At this time, the fastening plate 1424c coupled to the first body 1424a is brought into close contact with the transfer module 1240, and the fastening members (not shown) are coupled to each other. Thereafter, the second body 1424b protrudes from the first body 1424a so that the fastening plate 1424c coupled to the second body 1424b is in close contact with the other transfer module 1240, and then a fastening member (not shown). Combine them).

도 7과 도 8에서 체결 플레이트(1423c 또는 1424c)와 이송 모듈(1240)의 접촉 면에는 이송 모듈(1240)의 내부 및 버퍼 스테이션(1403 또는 1404)의 하우징(1423 또는 1424)의 내부가 외부로부터 밀폐되도록 실링 부재(도시되지 않음)가 제공될 수 있다. In FIGS. 7 and 8, the contact surface of the fastening plate 1423c or 1424c and the transfer module 1240 has an interior of the transfer module 1240 and an interior of the housing 1423 or 1424 of the buffer station 1403 or 1404 from the outside. Sealing members (not shown) may be provided to seal.

다시 도 1을 참조하면, 공정 설비들(1200)은 모두 동일한 구조를 가질 수 있다. 또한, 서로 간에 연결된 이송 모듈들(1240)과 버퍼 스테이션들(1400)은 모두 하나의 가상 라인을 따라 배치될 수 있다. 이하, 상술한 가상 라인을 연결 라인(50)이라 칭한다. 연결 라인(50)은 도 1과 같이 일직선으로 제공될 수 있다. 선택적으로 연결 라인은 알파벳 대문자 'L'이나 알파벳 대문자 'T' 등과 같은 다양한 모양으로 제공될 수 있다. 일 예에 의하면, 공정 설비들(1200)의 처리 모듈(1260)은 순차적으로 연결 라인(50)의 제 1 측 및 제 2 측에 교대로 번갈아가면서 위치될 수 있다. 연결 라인(50)의 제 1 측 및 제 2 측 각각에는 로드 포트들(1220)과 상하로 마주보도록 가이드 레일(42)이 제공되고, 오버헤드 트랜스퍼(40)와 같은 반송 장치가 가이드 레일(42)을 따라 이동될 수 있다. 연결 라인(50)의 제 1 측에 제공된 가이드 레일(42)과 연결 라인(50)의 제 2 측에 제공된 가이드 레일(42)은 서로 간에 독립적으로 제공될 수 있다. 선택적으로 연결 라인(50)의 제 1 측에 제공된 가이드 레일(42)과 연결 라인(50)의 제 2 측에 제공된 가이드 레일(42)은 하나의 레일로서 제공될 수 있다.Referring back to FIG. 1, the process facilities 1200 may all have the same structure. In addition, the transfer modules 1240 and the buffer stations 1400 connected to each other may be disposed along one virtual line. Hereinafter, the above-described virtual line is referred to as a connection line 50. The connection line 50 may be provided in a straight line as shown in FIG. 1. Optionally, the connection line may be provided in various shapes such as an uppercase letter 'L' or an uppercase letter 'T'. According to one example, the processing module 1260 of the process facilities 1200 may be alternately positioned alternately on the first side and the second side of the connection line 50. Each of the first side and the second side of the connection line 50 is provided with a guide rail 42 to face up and down the load ports 1220, and a conveying device such as an overhead transfer 40 is provided with the guide rail 42. Can be moved along. The guide rail 42 provided on the first side of the connecting line 50 and the guide rail 42 provided on the second side of the connecting line 50 may be provided independently of each other. Optionally, the guide rail 42 provided on the first side of the connecting line 50 and the guide rail 42 provided on the second side of the connecting line 50 may be provided as one rail.

도 9는 도 1에 제공된 3개의 공정 설비들(1200a, 1200b) 및 이들 사이에 배치된 버퍼 스테이션(1400)을 확대하여 보여주는 도면이다. FIG. 9 is an enlarged view of the three process facilities 1200a and 1200b provided in FIG. 1 and a buffer station 1400 disposed therebetween.

도 9의 설명의 편의를 위해, 도 9에서 연결 라인(50)을 기준으로 처리 모듈이 연결 라인(50)의 제 1 측에 제공된 공정 설비를 제 1 설비(1200a)라 칭하고, 제 1 설비(1200a)에 제공된 로드 포트, 이송 모듈, 그리고 처리 모듈을 각각 제 1 로드 포트(1220a), 제 1 이송 모듈(1240a), 그리고 제 1 처리 모듈(1260a)이라 칭한다. 또한, 도 9에서 연결 라인(50)을 기준으로 처리 모듈이 연결 라인(50)의 제 2 측에 제공된 공정 설비를 제 2 설비(1200b)라 칭하고, 제 2 설비(1200b)에 제공된 로드 포트, 이송 모듈, 그리고 처리 모듈을 각각 제 2 로드 포트(1220b), 제 2 이송 모듈(1240b), 그리고 제 2 처리 모듈(1260b)이라 칭한다. For convenience of description of FIG. 9, the process equipment provided on the first side of the connection line 50 by the processing module based on the connection line 50 in FIG. 9 is referred to as a first facility 1200a and the first facility ( The load port, transfer module, and processing module provided in 1200a are referred to as first load port 1220a, first transfer module 1240a, and first processing module 1260a, respectively. In addition, in FIG. 9, the process equipment provided by the processing module on the second side of the connection line 50 based on the connection line 50 is referred to as a second facility 1200b, and a load port provided to the second facility 1200b, The transfer module and the processing module are referred to as second load port 1220b, second transfer module 1240b, and second processing module 1260b, respectively.

상술한 바와 같이 제 1 이송 모듈(1240a)의 제 3 측벽(1255a)에는 제 1 처리 모듈(1260a)이 결합되고, 제 2 이송 모듈(1240b)의 제 3 측벽(1255b)에는 제 2 처리 모듈(1260b)이 결합된다. 제 1 이송 모듈(1240a)의 제 3 측벽(1255a)은 제 2 이송 모듈(1240b)의 제 1 측벽(1253b)에 비해 제 1 처리 모듈(1260a)이 결합되는 방향으로 연결 라인(50)으로부터 더 멀리 돌출될 수 있다. 또한, 제 2 이송 모듈(1240b)의 제 3 측벽(1255b)은 제 1 이송 모듈(1240a)의 제 1 측벽(1253a)에 비해 제 2 처리 모듈(1260b)이 결합되는 방향으로 연결 라인(50)으로부터 더 멀리 돌출될 수 있다. As described above, the first processing module 1260a is coupled to the third sidewall 1255a of the first transfer module 1240a, and the second processing module 1260b is connected to the third sidewall 1255b of the second transfer module 1240b. 1260b) are combined. The third sidewall 1255a of the first transfer module 1240a is further from the connection line 50 in the direction in which the first processing module 1260a is coupled compared to the first sidewall 1253b of the second transfer module 1240b. It may protrude far. In addition, the third sidewall 1255b of the second transfer module 1240b is connected to the connection line 50 in the direction in which the second processing module 1260b is coupled with respect to the first sidewall 1253a of the first transfer module 1240a. It can protrude further from.

또한, 제 1 이송 모듈(1240a)과 제 2 이송 모듈(1240b) 사이에 위치된 버퍼 스테이션(1400)은 제 1 측벽(1453), 제 2 측벽(1454), 제 3 측벽(1455), 그리고 제 4 측벽(1456)을 가진다. 제 1 측벽(1453)과 제 3 측벽(1455)은 서로 마주보고, 제 2 측벽(1454)과 제 4 측벽(1456)은 서로 마주본다. 제 1 측벽(1453)과 제 2 측벽(1454)은 대체로 수직하게 제공된다. 제 2 측벽(1454)과 제 4 측벽(1456)은 각각 제 1 이송 모듈(1240a) 또는 제 2 이송 모듈(1240b)에 결합된다. 제 1 측벽(1453)은 대체로 제 1 이송 모듈(1240a)의 제 1 측벽(1253a)으로부터 연장된 평면상에 위치될 수 있다. 제 3 측벽(1455)은 대체로 제 2 이송 모듈(1240b)의 제 1 측벽(1253b)으로부터 연장된 평면상에 위치될 수 있다. In addition, the buffer station 1400 located between the first transfer module 1240a and the second transfer module 1240b may include a first sidewall 1453, a second sidewall 1454, a third sidewall 1455, and a first sidewall 1455. Has four sidewalls 1456. The first sidewall 1453 and the third sidewall 1455 face each other, and the second sidewall 1454 and the fourth sidewall 1456 face each other. The first sidewall 1453 and the second sidewall 1454 are provided generally vertically. The second sidewall 1454 and the fourth sidewall 1456 are coupled to the first transfer module 1240a or the second transfer module 1240b, respectively. The first sidewall 1453 may generally be located on a plane extending from the first sidewall 1253a of the first transfer module 1240a. The third sidewall 1455 may generally be located on a plane extending from the first sidewall 1253b of the second transfer module 1240b.

이로 인해, 제 1 이송 모듈(1240a)에서 돌출된 부분(1700a), 제 2 로드 포트(1220b), 그리고 이들 사이에 위치된 버퍼 스테이션(1400)에 의해 둘러싸인 제 1 서비스 공간(1800a)이 제공된다. 제 1 서비스 공간(1800a)은 제 1 이송 모듈(1240a), 제 1 처리 모듈(1260a)의 로드록 챔버(1262a), 버퍼 스테이션(1400), 그리고 제 2 로드 포트(1220b)의 유지보수(maintenance)가 필요한 경우, 작업자가 위치될 수 있는 공간으로 제공될 수 있다. 또한, 제 2 이송 모듈(1240b)에서 돌출된 부분(1700b), 제 1 로드 포트(1220a), 그리고 이들 사이에 위치된 버퍼 스테이션(1400)에 의해 둘러싸인 제 2 서비스 공간(1800b)이 제공된다. 제 2 서비스 공간(1800b)은 제 2 이송 모듈(1240b), 제 2 처리 모듈(1260b)의 로드록 챔버(1262b), 버퍼 스테이션(1400), 그리고 제 1 로드 포트(1220a)의 유지보수(maintenance)가 필요한 경우, 작업자가 위치될 수 있는 공간으로 제공될 수 있다. This provides a first service space 1800a surrounded by the portion 1700a protruding from the first transport module 1240a, the second load port 1220b, and the buffer station 1400 located therebetween. . The first service space 1800a maintains the first transfer module 1240a, the load lock chamber 1262a of the first processing module 1260a, the buffer station 1400, and the second load port 1220b. If necessary, it can be provided as a space where the worker can be located. Also provided is a second service space 1800b surrounded by a portion 1700b protruding from the second transfer module 1240b, a first load port 1220a, and a buffer station 1400 located therebetween. The second service space 1800b maintains the maintenance of the second transfer module 1240b, the load lock chamber 1262b of the second processing module 1260b, the buffer station 1400, and the first load port 1220a. If necessary, it can be provided as a space where the worker can be located.

또한, 공정 설비들(1200a, 1200b)은 모두 대체로 동일한 크기 및 형상을 가질 수 있다. 제 1 처리 모듈(1260a)에서 제 1 방향(10)과 평행한 방향으로의 폭들 중 최대 폭(L1)은 이송 모듈(1240a)에서 제 1 방향(10)에 평행한 폭(L2)보다 크게 제공될 수 있다. 일 예에 의하면 제 1 처리 모듈(1260a)에서 제 1 방향(10)에 평행한 방향으로의 폭들 중 최대 폭의 길이(L1)는 제 1 방향(10)에 평행한 방향으로 이송 모듈(1240a)의 폭과 그 양측에 위치한 버퍼 스테이션들(1400)의 폭들의 길이의 합(L3)보다 크게 제공될 수 있다.In addition, the process facilities 1200a and 1200b may all have substantially the same size and shape. The maximum width L 1 of the widths in the direction parallel to the first direction 10 in the first processing module 1260a is greater than the width L 2 parallel to the first direction 10 in the transport module 1240a. It can be provided largely. According to an example, the length L 1 of the maximum width among the widths in the direction parallel to the first direction 10 in the first processing module 1260a is the transfer module 1240a in the direction parallel to the first direction 10. ) May be provided to be larger than the sum L 3 of the width and the length of the widths of the buffer stations 1400 located at both sides thereof.

도 10은 기판 처리 시스템(2000)의 다른 예를 개략적으로 보여주는 도면이다. 도 10을 참조하면, 기판 처리 시스템(2000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(2240)을 구비한 공정 설비들(2200) 및 인접하는 이송 모듈들(2240) 사이에 제공된 버퍼 스테이션(2400)을 가진다. 도 10의 기판 처리 시스템(2000)에서 이송 모듈(2240)의 하우징(2250) 내에는 제 1 방향(10)과 평행하게 가이드 레일(2246)이 제공된다. 반송 로봇(2242)은 가이드 레일(2246)을 따라 직선 이동되도록 가이드 레일(2246)에 장착될 수 있다.10 is a diagram schematically illustrating another example of the substrate processing system 2000. Referring to FIG. 10, the substrate processing system 2000 is similar to the substrate processing system 1000 of FIG. 1, between process facilities 2200 having adjacent transfer modules 2240 and adjacent transfer modules 2240. Has a buffer station 2400 provided therein. In the substrate processing system 2000 of FIG. 10, a guide rail 2246 is provided in the housing 2250 of the transfer module 2240 in parallel with the first direction 10. The transfer robot 2242 may be mounted to the guide rail 2246 to linearly move along the guide rail 2246.

도 11은 기판 처리 시스템(3000)의 또 다른 예를 개략적으로 보여주는 도면이다. 도 11을 참조하면, 기판 처리 시스템(3000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(3240)을 구비한 공정 설비들(3200, 3201) 및 인접하는 이송 모듈들(3240) 사이에 제공된 버퍼 스테이션(3400)을 가진다. 다만, 일부 공정 설비들(3201)은 로드 포트(3220) 없이 2개의 처리 모듈(3262, 3264)을 가진다. 이하, 설명의 편의를 위해 도 11에서 처리 모듈들 중 하나를 제 1 처리 모듈(3262)이라 하고, 다른 하나를 제 2 처리 모듈(3264)이라 한다. 제 1 처리 모듈(3262)과 제 2 처리 모듈(3264)은 대체로 도 1의 처리 모듈(1260)과 유사한 구조를 가질 수 있다. 제 1 처리 모듈(3262)과 제 2 처리 모듈(3264)은 하나의 이송 모듈(3240)을 공유한다. 제 1 처리 모듈(3262), 이송 모듈(3240), 그리고 제 2 처리 모듈(3264)은 순차적으로 제 2 방향(20)을 따라 일렬로 제공된다. 제 1 처리 모듈(3262)과 제 2 처리 모듈(3264)은 이송 모듈(3240)을 기준으로 대칭이 되게 제공될 수 있다. 도 11에서는 제 1 처리 모듈(3262)과 제 2 처리 모듈(3264)이 동일한 구조를 가지는 것으로 도시하였다. 그러나 선택적으로 제 1 처리 모듈(3262)과 제 2 처리 모듈(3264)은 서로 상이한 구조로 제공될 수 있다. 제 1 처리 모듈(3262)과 제 2 처리 모듈(3264)은 기판에 대해 동일한 공정을 수행하도록 제공될 수 있다. 선택적으로 제 1 처리 모듈(3262)과 제 2 처리 모듈(3264)은 기판에 대해 상이한 공정을 수행하도록 제공될 수 있다.11 is a diagram schematically illustrating another example of the substrate processing system 3000. Referring to FIG. 11, the substrate processing system 3000 may include process facilities 3200 and 3201 and adjacent transfer modules 3240 having a transfer module 3240 generally similarly to the substrate processing system 1000 of FIG. 1. ) Has a buffer station 3400 provided between. However, some process facilities 3201 have two processing modules 3326, 3264 without a load port 3220. Hereinafter, for convenience of description, one of the processing modules in FIG. 11 is referred to as a first processing module 3326 and the other is referred to as a second processing module 3264. The first processing module 3262 and the second processing module 3264 may generally have a structure similar to that of the processing module 1260 of FIG. 1. The first processing module 3262 and the second processing module 3264 share one transfer module 3240. The first processing module 3326, the transfer module 3240, and the second processing module 3264 are sequentially provided along the second direction 20. The first processing module 3262 and the second processing module 3264 may be provided symmetrically with respect to the transfer module 3240. In FIG. 11, the first processing module 3326 and the second processing module 3264 have the same structure. However, optionally, the first processing module 3262 and the second processing module 3264 may be provided in different structures. The first processing module 3262 and the second processing module 3264 may be provided to perform the same process on the substrate. Optionally, first processing module 3262 and second processing module 3264 may be provided to perform different processes on the substrate.

도 12는 기판 처리 시스템(4000)의 또 다른 예를 개략적으로 보여주는 도면이다. 도 12를 참조하면, 기판 처리 시스템(4000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(4240)을 구비한 공정 설비들(4201, 4202, 4203 그리고 4204) 및 인접하는 이송 모듈들(4240) 사이에 제공된 버퍼 스테이션(4400)을 가진다. 다만, 공정 설비들(4201, 4202, 4203 그리고 4204)의 처리 모듈(4261, 4262, 4263 그리고 4264)은 서로 상이한 구조를 가진다. 공정 설비들 중 일부 공정 설비(4201)에서 처리 모듈(4261)은 상술한 도 1의 처리 모듈(1260)과 같이 로드록 챔버(4261a), 다각형의 트랜스퍼 챔버(4261b), 그리고 복수의 공정 챔버들(4261c)을 가진다. 공정 설비들 중 다른 일부의 공정 설비(4202)의 처리 모듈(4262)은 하나의 로드록 챔버(4262a)와 하나의 공정 챔버(4262c)가 트랜스퍼 챔버(4262b)에 결합된 구조를 가지고, 로드록 챔버(4262a), 트랜스퍼 챔버(4262b), 그리고 공정 챔버(4262c)가 제 2 방향(20)을 따라 순차적으로 일렬로 제공된다. 또한, 공정 설비들 중 또 다른 일부의 공정 설비(4203)의 처리 모듈(4263)은 사각 형상의 트랜스퍼 챔버(4263b)와 이의 둘레에 로드록 챔버(4263a) 및 두 개의 공정 챔버(4263c)가 결합된 구조를 가진다. 또한, 공정 설비들 중 또 다른 일부의 공정 설비(4204)의 처리 모듈(4264)은 로드록 챔버 없이 다각형의 트랜스퍼 챔버(4264b) 및 이의 둘레에 배치된 복수의 공정 챔버(4264c)를 가지고, 트랜스퍼 챔버(4264b)가 이송 모듈(4244)에 직접 결합된다. 도 12에 도시된 처리 모듈들(4261, 4262, 4263 그리고 4264)의 구조는 일 예를 보여주는 것이며, 처리 모듈들은 이와 상이한 다양한 구조를 가질 수 있다.12 is a diagram schematically illustrating another example of the substrate processing system 4000. Referring to FIG. 12, the substrate processing system 4000 is adjacent to the process facilities 4201, 4202, 4203 and 4204 with the transfer module 4240 and generally similar to the substrate processing system 1000 of FIG. 1. It has a buffer station 4400 provided between modules 4240. However, the processing modules 4421, 4262, 4263, and 4264 of the process facilities 4201, 4202, 4203, and 4204 have different structures from each other. In some of the process facilities 4201, the processing module 4421 is a load lock chamber 4421a, a polygonal transfer chamber 4421b, and a plurality of process chambers, such as the processing module 1260 of FIG. 1 described above. (4261c). The processing module 4422 of the process equipment 4202 of the other part of the process equipment has a structure in which one loadlock chamber 4426a and one process chamber 4426c are coupled to the transfer chamber 4426b, and the loadlock The chamber 4426a, the transfer chamber 4426b, and the process chamber 4426c are sequentially provided in a line along the second direction 20. In addition, the processing module 4403 of the processing equipment 4203 of another part of the processing equipments has a quadrangular transfer chamber 4403b and a load lock chamber 4403a and two process chambers 4403c around it. Has a structure. In addition, the processing module 4264 of another of the process facilities 4264 has a polygonal transfer chamber 4264b without a loadlock chamber and a plurality of process chambers 4264c disposed around it, the transfer Chamber 4264b is directly coupled to transfer module 4244. The structure of the processing modules 4421, 4262, 4263, and 4264 illustrated in FIG. 12 is an example, and the processing modules may have various structures different from this.

도 12의 경우, 공정 설비들 중 일부 공정 설비(4201, 4202 그리고 4203)는 진공 상태에서 기판에 대해 공정을 수행하고, 다른 일부 공정 설비(4204)는 상압 상태에서 기판에 대해 공정을 수행할 수 있다.In the case of FIG. 12, some of the process facilities 4201, 4202, and 4203 may process the substrate in a vacuum state, and some other process facilities 4204 may perform the process on a substrate at atmospheric pressure. have.

도 12에서는 처리 모듈들(4261, 4262, 4263 그리고 4264)이 버퍼 스테이션들(4400) 및 이송 모듈(4240, 4244)이 배열된 연결 라인(50)을 기준으로 그 양측에 제공되는 것으로 도시하였으나, 이와 달리 도 10과 같이 처리 모듈들(4261, 4262, 4263 그리고 4264)은 상기 연결 라인(50)을 기준으로 동일 측에 제공될 수 있다.In FIG. 12, processing modules 4421, 4262, 4263, and 4264 are provided on both sides of the connection line 50 where the buffer stations 4400 and the transfer modules 4240, 4244 are arranged. Unlike this, as illustrated in FIG. 10, the processing modules 4241, 4262, 4263, and 4264 may be provided on the same side with respect to the connection line 50.

도 13은 기판 처리 시스템(5000)의 또 다른 예를 개략적으로 보여주는 도면이다. 도 13을 참조하면, 기판 처리 시스템(5000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(5240)을 구비한 공정 설비들(5200, 5201) 및 인접하는 이송 모듈들(5240) 사이에 제공된 버퍼 스테이션(5400)을 가진다. 다만, 공정 설비들 중 일부 공정 설비(5201)는 로드 포트(5220) 없이 이송 모듈(5240)과 처리 모듈(5260)을 가진다. 13 is a diagram schematically illustrating another example of the substrate processing system 5000. Referring to FIG. 13, substrate processing system 5000 includes process facilities 5200 and 5201 and adjacent transfer modules 5240 having transfer module 5240 generally similar to substrate processing system 1000 of FIG. 1. ) Has a buffer station 5400 provided between. However, some of the process facilities 5201 have a transfer module 5240 and a processing module 5260 without a load port 5220.

도 14는 기판 처리 시스템(6000)의 또 다른 예를 개략적으로 보여주는 도면이다. 도 14를 참조하면, 기판 처리 시스템(6000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(6240)을 구비한 공정 설비들(6201, 6202) 및 인접하는 이송 모듈들(6240) 사이에 제공된 버퍼 스테이션(6400)을 가진다. 다만, 이송 모듈들(6240)과 버퍼 스테이션들(6400)이 배열된 연결 라인(50)을 기준으로 제 1 측에 처리 모듈(6260)이 제공된 공정 설비(6201)에는 로드 포트(6220)가 제공되나, 제 2 측에 처리 모듈(6260)이 제공된 공정 설비(6202)에는 로드 포트가 제공되지 않는다. 이 경우, 오버 헤드 트랜스퍼(40) 및 이의 이동을 안내하는 가이드 레일(42)은 상기 연결 라인(50)의 제 2 측에만 제공될 수 있다.14 is a diagram schematically showing another example of the substrate processing system 6000. Referring to FIG. 14, the substrate processing system 6000 may include process facilities 6201 and 6202 and adjacent transfer modules 6240 that have a transfer module 6240 generally similar to the substrate processing system 1000 of FIG. 1. ) Has a buffer station 6400 provided therebetween. However, the load port 6220 is provided to the process facility 6201 provided with the processing module 6260 on the first side based on the connection line 50 on which the transfer modules 6240 and the buffer stations 6400 are arranged. However, the load port is not provided to the process facility 6202 provided with the processing module 6260 on the second side. In this case, the overhead transfer 40 and the guide rail 42 for guiding the movement thereof may be provided only on the second side of the connection line 50.

도 13과 도 14에서는 처리 모듈들(5200, 5201, 6201 그리고 6202)이 모두 동일한 구조를 가지는 것으로 설명하였다. 그러나 이와 달리 처리 모듈들(5200, 5201, 6201 그리고 6202)은 도 11과 같이 상이한 구조로 제공될 수 있다. 선택적으로 도 14에서 연결 라인(50)을 기준으로 동일한 측에 위치한 처리 모듈들은 모두 동일한 구조로 제공되고, 연결 라인(50)을 기준으로 제 1 측에 제공된 처리 모듈(6201)과 제 2 측에 제공된 처리 모듈(6202)은 서로 상이한 구조로 제공될 수 있다. 13 and 14, the processing modules 5200, 5201, 6201, and 6202 have the same structure. Alternatively, however, the processing modules 5200, 5201, 6201, and 6202 may be provided in different structures as illustrated in FIG. 11. Optionally, the processing modules located on the same side with respect to the connection line 50 in FIG. 14 are all provided in the same structure, and the processing modules 6201 and the second side provided on the first side with respect to the connection line 50 are provided. The provided processing modules 6202 may be provided in different structures from each other.

도 15는 기판 처리 시스템(7000)의 도 다른 예를 개략적으로 보여주는 도면이다. 도 15를 참조하면, 기판 처리 시스템(7000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(7240)을 구비한 공정 설비들(7200, 7201) 및 인접하는 이송 모듈들(7240) 사이에 제공된 버퍼 스테이션(7400)을 가진다. 기판 처리 시스템(7000)은 공정 처리 장치(7800)를 더 구비한다. 공정 처리 장치(7800)는 일부 공정 설비(7201)의 로드 포트(7220)에 결합되도록 제공된다. 연결 라인(50)을 기준으로 공정 처리 장치(7800)가 결합되는 공정 설비(7201)의 처리 모듈(7260)과 공정 처리 장치(7800)는 서로 반대 측에 위치한다. 공정 처리 장치(7800)는 두 개의 공정 설비(7201)의 로드 포트(7220)에 동시에 결합될 수 있다. 이때, 공정 처리 장치(7800)가 결합되는 두 개의 공정 설비(7201)의 이송 모듈들(7240) 사이에는 버퍼 스테이션(7400)이 제공되지 않을 수 있다. 또한, 두 개의 공정 설비(7201)는 서로 인접한 공정 설비들일 수 있다.15 is a schematic illustration of another example of a substrate processing system 7000. Referring to FIG. 15, the substrate processing system 7000 is similar to the substrate processing system 1000 of FIG. 1, with process facilities 7200 and 7201 and adjacent transfer modules 7240 having a transfer module 7240. ) Has a buffer station 7400 provided between. The substrate processing system 7000 further includes a process processing apparatus 7800. Process processor 7800 is provided to be coupled to load port 7220 of some process facilities 7201. The processing module 7260 and the processing apparatus 7800 of the process facility 7201 to which the processing apparatus 7800 is coupled with respect to the connection line 50 are located at opposite sides. Process processor 7800 may be coupled to load ports 7220 of two process facilities 7201 simultaneously. In this case, the buffer station 7400 may not be provided between the transfer modules 7240 of the two process facilities 7201 to which the process processing apparatus 7800 is coupled. In addition, the two process facilities 7201 may be process facilities adjacent to each other.

공정 처리 장치(7800)는 복수의 기판들에 대해 동시에 공정을 수행하는 배치식 공정 장치일 수 있다. 예컨대, 공정 처리 장치(7800)에서 수행되는 공정은 세정(cleaning) 공정 또는 스트립(strip) 공정일 수 있다. 일 예에 의하면, 공정 처리 장치(7800)로는 도 16과 같이 미국공개특허 US 2004/00165973의 도 12에 개시된 장치와 동일 또는 유사한 구조의 장치가 제공될 수 있다. The process processing apparatus 7800 may be a batch process apparatus that simultaneously performs a process on a plurality of substrates. For example, the process performed in the process treatment apparatus 7800 may be a cleaning process or a strip process. According to an example, the process processing apparatus 7800 may be provided with an apparatus having the same or similar structure as that of the apparatus disclosed in FIG.

공정 처리 장치(7800)의 내부 구조에 따라 공정 처리 장치(7800)에는 기판이 수납된 용기(30)를 회전시키는 회전 부재(7820)가 제공될 수 있다. 오버 헤드 트랜스퍼(40)는 용기(30)의 개구가 이송 모듈(7240)을 바라보도록 용기(30)를 로드 포트(7220) 상에 올려놓는다. 공정 처리 장치(7800)는 용기(30)를 그 내부로 이송시키고 용기(30)를 180도 회전시킨 후 용기(30)로부터 기판을 언로딩 할 수 있다. 선택적으로 용기(30)를 회전시키는 회전 부재는 로드 포트(7220) 또는 오버 헤드 트랜스퍼(40)에 제공될 수 있다. 용기(30)는 공정 설비(7201)의 로드 포트(7220)에 놓인 상태에서 공정 처리 장치(7800)로 이동되고, 이후에 공정 처리 장치(7800)에서 공정이 완료되면 용기(30)는 다른 공정 설비(7201)의 로드 포트(7200)로 이동될 수 있다. 선택적으로, 용기(30)는 로드 포트(7220)에 놓인 상태에서 기판이 직접 공정 처리 장치(7800) 내로 반송될 수 있다.According to the internal structure of the process processing apparatus 7800, the process processing apparatus 7800 may be provided with a rotating member 7820 for rotating the container 30 in which the substrate is stored. The overhead transfer 40 places the vessel 30 on the load port 7220 so that the opening of the vessel 30 faces the transfer module 7240. The processing apparatus 7800 may unload the substrate from the container 30 after transferring the container 30 therein and rotating the container 30 degrees. Optionally, a rotating member for rotating the vessel 30 may be provided to the load port 7220 or the overhead transfer 40. The vessel 30 is moved to the process treatment apparatus 7800 in a state of being placed in the load port 7220 of the process equipment 7201, and then the vessel 30 is moved to another process when the process is completed in the process treatment apparatus 7800. May be moved to a load port 7200 of facility 7201. Optionally, the substrate 30 can be conveyed directly into the process processing apparatus 7800 with the vessel 30 placed in the load port 7220.

도 17은 기판 처리 시스템(8000)의 또 다른 예를 개략적으로 보여주는 도면이다. 도 17의 기판 처리 시스템(8000)은 도 15의 기판 처리 시스템(7000)과 대체로 유사하게 이송 모듈(8240)을 구비한 공정 설비들(8200, 8201) 및 인접하는 이송 모듈(8240)들 사이에 제공된 버퍼 스테이션(8400, 8401), 그리고 공정 처리 장치(8800)를 가진다. 기판 처리 시스템(8000)에서 공정 처리 장치(8800)가 결합된 공정 설비들(8201)의 이송 모듈(8240) 사이에는 버퍼 스테이션(8401)이 제공된다. 이때 버퍼 스테이션(8401)은 도 5 또는 도 6의 버퍼 스테이션(1401 또는 1402)과 유사한 구조를 가질 수 있다. 17 is a diagram schematically showing another example of the substrate processing system 8000. The substrate processing system 8000 of FIG. 17 is similar to the substrate processing system 7000 of FIG. 15 between process facilities 8200 and 8201 and adjacent transfer modules 8230 having a transfer module 8240. Provided buffer stations 8400, 8401, and process processing apparatus 8800. In the substrate processing system 8000, a buffer station 8201 is provided between the transfer modules 8240 of the process facilities 8201 to which the process processing apparatus 8800 is coupled. In this case, the buffer station 8401 may have a structure similar to that of the buffer station 1401 or 1402 of FIG. 5 or 6.

도 18은 기판 처리 시스템(9000)의 또 다른 예를 개략적으로 보여주는 도면이다. 기판 처리 시스템(9000)은 도 15의 기판 처리 시스템(7000)과 대체로 유사하게 이송 모듈(9240)을 구비한 공정 설비들(9200, 9201) 및 인접하는 이송 모듈들(9240) 사이에 제공된 버퍼 스테이션(9400), 그리고 공정 처리 장치(9800, 9801)를 가진다. 다만, 기판 처리 시스템(9000)에서 공정 처리 장치(9801)는 다른 공정 설비(9201)가 사이에 위치되어 있는 공정 설비들(9200)의 로드 포트(9220)에 결합된다. 18 is a diagram schematically illustrating another example of the substrate processing system 9000. Substrate processing system 9000 is a buffer station provided between process facilities 9200 and 9201 and adjacent transfer modules 9240 that have transfer modules 9240 generally similar to the substrate processing system 7000 of FIG. 15. 9400, and process processing devices 9800 and 9801. However, in the substrate processing system 9000, the process processing apparatus 9801 is coupled to the load port 9220 of the process facilities 9200 with other process equipment 9201 interposed therebetween.

도 19는 기판 처리 시스템(10000)의 또 다른 예를 개략적으로 보여주는 도면이다. 기판 처리 시스템(10000)은 도 15의 기판 처리 시스템(7000)과 대체로 유사하게 이송 모듈(10240)을 구비한 공정 설비들(10200) 및 인접하는 이송 모듈들(10240) 사이에 제공된 버퍼 스테이션(10400), 그리고 공정 처리 장치(10800)를 가진다. 기판 처리 시스템(10000)에서 공정 처리 장치(10800)는 하나의 공정 설비(10200)의 로드 포트(10220)에 결합된다. 공정 처리 장치(10800)는 확산 공정을 수행하는 장치일 수 있다. 예컨대, 공정 처리 장치(10800)는 도 20과 같이 미국 공개 특허 US 2008/0255697의 도 4와 동일 또는 유사한 구조의 장치일 수 있다. 19 is a diagram schematically showing another example of the substrate processing system 10000. Substrate processing system 10000 is generally similar to substrate processing system 7000 of FIG. 15, buffer station 10400 provided between process facilities 10200 with transfer module 10240 and adjacent transfer modules 10240. And a process processing apparatus 10800. In substrate processing system 10000, process processing apparatus 10800 is coupled to load port 10220 of one process facility 10200. The processing apparatus 10800 may be a device for performing a diffusion process. For example, the processing apparatus 10800 may be a device having the same or similar structure as that of FIG. 4 of US Published Patent US 2008/0255697 as shown in FIG. 20.

공정 처리 장치(10800)에는 그 내부 구조에 따라 기판이 수납되는 용기(30)를 회전시키는 회전 부재(10820)가 제공될 수 있다. 회전 부재(10820)는 용기(30)의 개구 방향이 180도 전환되도록 용기(30)를 회전시킬 수 있다. 선택적으로 회전 부재는 로드 포트(10200) 또는 오버 헤드 트랜스퍼(40)에 제공될 수 있다. The processing apparatus 10800 may be provided with a rotating member 10820 for rotating the container 30 in which the substrate is accommodated, according to an internal structure thereof. The rotating member 10820 may rotate the container 30 so that the opening direction of the container 30 is switched 180 degrees. Optionally, the rotating member may be provided to the load port 10200 or the overhead transfer 40.

도 21은 기판 처리 시스템(11000)의 또 다른 예를 개략적으로 보여주는 도면이다. 기판 처리 시스템(11000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(11241, 11242)을 구비한 공정 설비들(11201, 11202) 및 인접하는 이송 모듈들(11241, 11242) 사이에 제공된 버퍼 스테이션(11400, 11401)을 가진다. 다만, 기판 처리 시스템(11000)에서 일부 이송 모듈들(11241, 11242)과 버퍼 스테이션(11401)은 도 1의 이송 모듈(1240) 및 버퍼 스테이션(1400)과 상이한 결합 구조를 가진다. 공정 설비들(11201, 11202)은 각각 이송 모듈(11241, 11242)과 처리 모듈(11261, 11262)을 가진다. 공정 설비(11201)에서 이송 모듈(10241)과 처리 모듈(10261)은 제 2 방향(20)을 따라 제공된다. 다른 공정 설비(11202)에서 이송 모듈(11242)과 처리 모듈(11262)은 제 1 방향(10)을 따라 제공된다. 이하, 설명의 편의를 위해 도 21에서 이송 모듈(10241)을 제 1 이송 모듈이라 칭하고, 이송 모듈(10242)을 제 2 이송 모듈이라 칭한다.FIG. 21 is a diagram schematically illustrating another example of the substrate processing system 11000. Substrate processing system 11000 is disposed between process facilities 1121 and 11202 and adjacent transfer modules 11241 and 11242 with transfer modules 11241 and 11242 generally similarly to substrate processing system 1000 of FIG. 1. It has buffer stations 11400 and 11401 provided therein. However, in the substrate processing system 11000, some of the transfer modules 11241 and 11242 and the buffer station 1401 have a different coupling structure from those of the transfer module 1240 and the buffer station 1400 of FIG. 1. Process facilities 1121 and 11202 have transfer modules 11241 and 11242 and treatment modules 11261 and 11262 respectively. In process equipment 12201, the transfer module 10201 and the processing module 10261 are provided along the second direction 20. In another process facility 11202, the transfer module 11242 and the processing module 11262 are provided along the first direction 10. Hereinafter, for convenience of description, the transfer module 10201 is referred to as a first transfer module and the transfer module 10102 is referred to as a second transfer module in FIG. 21.

버퍼 스테이션(11401)은 하우징(11420) 및 버퍼 부재(11440)를 가진다. 버퍼 부재(11440)는 도 2의 버퍼 부재(1440) 또는 도 3의 버퍼 부재(1440a)와 동일 또는 유사한 구조를 가질 수 있다. 하우징(11420)은 상면(도시되지 않음), 하면(도시되지 않음), 제 1 측면(11423), 제 2 측면(11424), 제 3 측면(11425), 그리고 제 4 측면(11426)을 구비하며, 대체로 직육면체 또는 정육면체의 형상을 가진다. 제 1 측면(11423)과 제 3 측면(11425)은 서로 마주보는 면이고, 제 2 측면(11424)과 제 4 측면(11426)은 서로 마주보는 면이며, 제 1 측면(11423)과 제 2 측면(11424)은 대체로 수직하게 제공된다. 제 1 측면(11423) 및 제 2 측면(11424)에는 기판이 출입되는 개구(도시되지 않음) 및 이를 개폐하는 도어(도시되지 않음)가 제공된다. 제 1 측면(11423)에는 제 1 이송 모듈(11241)이 결합되고, 제 2 측면(11424)에는 제 2 이송 모듈(11242)이 결합된다. 이와 같은 구조로 인해, 대체로 수직하게 배치된 제 1 이송 모듈(11241)과 제 2 이송 모듈(11242) 사이에 기판의 이송은 이들 사이에 배치된 버퍼 스테이션(11401)을 통해 이루어질 수 있다.The buffer station 11001 has a housing 11420 and a buffer member 11440. The buffer member 11440 may have the same or similar structure as the buffer member 1440 of FIG. 2 or the buffer member 1440a of FIG. 3. The housing 11420 has a top surface (not shown), a bottom surface (not shown), a first side surface 1123, a second side surface 1114, a third side surface 1145, and a fourth side surface 1142. In general, they have the shape of a cube or a cube. The first side 11223 and the third side 11415 are faces that face each other, and the second side 1114 and the fourth side 1142 are faces that face each other, and the first side 1123 and the second side faces 11424 is provided generally vertically. The first side 11223 and the second side 11414 are provided with an opening (not shown) through which the substrate enters and exits and a door (not shown) for opening and closing the substrate. The first transfer module 11241 is coupled to the first side surface 1123, and the second transfer module 11242 is coupled to the second side side 1114. Due to this structure, the transfer of the substrate between the first transfer module 11241 and the second transfer module 11242 disposed substantially vertically may be achieved through the buffer station 1401 disposed therebetween.

도 22는 기판 처리 시스템(12000)의 또 다른 예를 개략적으로 보여주는 도면이다. 도 22를 참조하면, 기판 처리 시스템(12000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(12241, 11242)을 구비한 공정 설비들(12200) 및 인접하는 이송 모듈들(12241, 11242) 사이에 제공된 버퍼 스테이션(12400)을 가진다. 다만, 로드 포트(12260)는 상술한 연결 라인을 따라 제공된 이송 모듈들(12241, 12242) 중 양끝에 위치된 이송 모듈들(12241)의 제 2 측벽(12254) 또는 제 4 측벽(12256)과 결합될 수 있다. 이에 의해 기판 처리 시스템(12000)의 양끝에는 각각 로드 포트(12260)가 제공되고, 로드 포트(12260) 사이에 이송 모듈(12241, 12242)과 버퍼 스테이션(12400)이 순차적으로 교대로 제공된다. 또한, 로드 포트(12260)와 마주보도록 로드 포트(12260)의 상부를 지나는 가이드 레일(42)이 제공되고, 용기를 반송하는 오버 헤드 트랜스퍼(40)와 같은 반송 장치가 가이드 레일(42)을 따라 이동될 수 있다. 도 22에서 연결 라인은 도시되지 않았으나, 가이드 레일(42)과 중첩될 수 있다.22 is a diagram schematically illustrating another example of the substrate processing system 12000. Referring to FIG. 22, the substrate processing system 12000 may include process facilities 12200 and adjacent transfer modules 12241 having transfer modules 12241 and 11242 generally similarly to the substrate processing system 1000 of FIG. 1. 11242 has a buffer station 12400 provided between. However, the load port 12260 is coupled to the second side wall 12254 or the fourth side wall 12256 of the transfer modules 12241 positioned at both ends of the transfer modules 12241 and 12242 provided along the connection line described above. Can be. As a result, load ports 12260 are provided at both ends of the substrate processing system 12000, and transfer modules 12241 and 12242 and buffer stations 12400 are alternately provided between the load ports 12260. In addition, a guide rail 42 passing through the top of the load port 12260 is provided to face the load port 12260, and a conveying device such as an overhead transfer 40 for conveying the container is provided along the guide rail 42. Can be moved. Although not shown in FIG. 22, the connection line may overlap the guide rail 42.

도 23은 기판 처리 시스템(13000)의 다른 예를 개략적으로 보여주는 도면이다. 도 23을 참조하면, 기판 처리 시스템(13000)은 도 1의 기판 처리 시스템(1000)과 대체로 유사하게 이송 모듈(13240)을 구비한 공정 설비들(13200) 및 인접하는 이송 모듈들(13240) 사이에 제공된 버퍼 스테이션(13400)을 가진다. 또한, 처리 모듈들(13260)은 연결 라인(50)의 제 1 측 및 제 2 측에 교대로 배치된다. 이송 모듈들(13240)은 연결 라인(50)을 따라 서로 간에 정렬되도록 제공된다. 즉, 모든 이송 모듈들(13240)은 연결 라인(50)의 제 1 측 및 제 2 측을 향해 돌출된 길이가 모두 동일하게 제공된다. 또한, 제 2 방향(20)과 평행한 방향으로 이송 모듈(13240)의 폭(TL)은 버퍼 스테이션(13400)의 폭(BL)보다 길게 제공된다. 이로 인해, 이송 모듈(13240)은 버퍼 스테이션(13400)에 비해 연결 라인(50)의 제 1 측 및 제 2 측으로 각각 더 길게 돌출된다. 23 is a diagram schematically illustrating another example of the substrate processing system 13000. Referring to FIG. 23, the substrate processing system 13000 is similar to the substrate processing system 1000 of FIG. 1 between the process facilities 13200 and adjacent transfer modules 13240 having the transfer module 13240. It has a buffer station 13400 provided to it. In addition, the processing modules 13260 are alternately arranged on the first side and the second side of the connection line 50. The transfer modules 13240 are provided to be aligned with each other along the connection line 50. That is, all of the transfer modules 13240 are provided with the same lengths protruding toward the first side and the second side of the connection line 50. In addition, the width T L of the transfer module 13240 is provided longer than the width B L of the buffer station 13400 in a direction parallel to the second direction 20. As a result, the transfer module 13240 protrudes longer to the first side and the second side of the connection line 50 than the buffer station 13400, respectively.

상술한 도 11 내지 도 15, 도 17 내지 도 19, 도 21 내지 도 23에 도시된 기판 처리 시스템에서 이송모듈들 각각에 제공된 반송 로봇은 로드 포트에 놓인 용기 및 버퍼 스테이션들과의 접근성에 따라 도 10의 기판 처리 시스템에 기재된 이송모듈의 반송로봇과 같이 제 1 방향을 따라 이동 가능하도록 제공될 수 있다.In the above-described substrate processing system shown in FIGS. 11 to 15, 17 to 19, and 21 to 23, the transfer robot provided to each of the transfer modules is shown according to the accessibility with the containers and buffer stations placed in the load port. It may be provided to be movable along the first direction, such as the transfer robot of the transfer module described in the substrate processing system of 10.

상술한 기판 처리 시스템들에서는 모든 공정 설비들이 버퍼 스테이션 또는 기판 처리 장치를 통해 서로 간에 연결되는 것으로 설명하였다. 그러나 이와 달리 공정 설비들은 복수 개로 그룹 지어지고, 각 그룹에 속하는 공정 설비들끼리 버퍼 스테이션으로 연결될 수 있다. In the above-described substrate processing systems, all the process facilities are described as being connected to each other through a buffer station or a substrate processing apparatus. In contrast, however, process facilities may be grouped in plural and process units belonging to each group may be connected to the buffer station.

일 예에 의하면, 도 24와 같이 공정 설비들(1200)은 제 1 그룹(1000a)과 제 2 그룹(1000b)으로 그룹 지어질 수 있다. 제 1 그룹(1000a)에 속하는 공정 설비들(1200)은 서로 간에 버퍼 스테이션(1400)을 통해 연결되고, 제 2 그룹(1000b)에 속하는 공정 설비들(1200)은 서로 간에 버퍼 스테이션(1400)을 통해 연결될 수 있다. 또한, 기판들이 수납된 용기는 제 1 그룹(1000a)에 속하는 공정 설비들(1200) 간, 제 2 그룹(1000b)에 속하는 공정 설비들(1200) 간, 그리고 제 1 그룹(1000a)의 공정 설비(1200)와 제 2 그룹(1000b)의 공정 설비(1200) 간에 오버 헤드 트랜스퍼(OHT), 자동 안내 차량(AGV), 레일 안내 차량(RGV) 등과 같은 반송 장치나 작업자에 의해 반송될 수 있다. According to an example, as illustrated in FIG. 24, the process facilities 1200 may be grouped into a first group 1000a and a second group 1000b. Process facilities 1200 belonging to the first group 1000a are connected to each other through a buffer station 1400, and process facilities 1200 belonging to the second group 1000b connect the buffer stations 1400 to each other. Can be connected via. In addition, the container in which the substrates are accommodated is between the process equipment 1200 belonging to the first group 1000a, the process equipment 1200 belonging to the second group 1000b, and the process equipment of the first group 1000a. It may be conveyed by a worker or a conveying device such as an overhead transfer (OHT), an automatic guide vehicle (AGV), a rail guide vehicle (RGV), or the like between the 1200 and the process equipment 1200 of the second group 1000b.

또한, 도 25와 같이 제 1 그룹(1000a)과 제 2 그룹(1000b) 사이에는 버퍼 스테이션이 연결되지 않은 하나 또는 복수의 공정 설비(1209)가 독립적으로 제공될 수 있다. In addition, as illustrated in FIG. 25, one or a plurality of process facilities 1209 without a buffer station may be independently provided between the first group 1000a and the second group 1000b.

또한, 도 26과 같이 도 1 또는 도 24와 같은 기판 처리 시스템은 복수 개가 제공되며, 이들은 제 2 방향(20)을 따라 서로 이격되고 서로 간에 평행하게 제공될 수 있다.In addition, as illustrated in FIG. 26, a plurality of substrate processing systems such as FIG. 1 or FIG. 24 may be provided, and they may be spaced apart from each other and parallel to each other along the second direction 20.

상술한 도 24 내지 도 26에서는 도 1에 도시된 실시 예와 같은 구조의 기판 처리 시스템이 도시되었으나, 이와 달리 다른 실시 예들에 도시된 기판 처리 시스템이 도 24 내지 도 26과 같은 배치로 제공될 수 있다.24 to 26, the substrate processing system having the same structure as the embodiment shown in FIG. 1 is illustrated. Alternatively, the substrate processing system shown in the other embodiments may be provided in the arrangement as shown in FIGS. 24 to 26. have.

다음에는 도 27 내지 도 29를 참조하여 버퍼 스테이션을 구비한 기판 처리 시스템을 이용하여 기판들에 대해 공정을 수행하는 방법의 일 예들을 설명한다. 이하, 설명의 편의를 위해 도 27 내지 도 29에 도시된 공정 설비들을 각각 왼쪽에서부터 순차적으로 제 1 공정 설비(14201, 15201, 16201), 제 2 공정 설비(14202, 15202, 16202) 그리고 제 3 공정 설비(14203, 15203, 16203)라 칭한다. 또한, 제 1 공정 설비(14201, 15201, 16201)의 이송 모듈에 제공된 반송 로봇 및 제 1 공정 설비(14201, 15201, 16201)의 처리 모듈을 각각 제 1 반송 로봇(14271, 15271, 16271) 및 제 1 처리 모듈(14261, 15261, 16261)이라 칭하고, 제 2 공정 설비(14202, 15202, 16202)의 이송 모듈에 제공된 반송 로봇 및 제 2 공정 설비(14202, 15202, 16202)의 처리 모듈을 각각 제 2 반송 로봇(14272, 15272, 16272) 및 제 2 처리 모듈(14262, 15262, 16262)이라 칭하고, 제 3 공정 설비(14203, 15203, 16203)의 이송 모듈에 제공된 반송 로봇 및 제 3 공정 설비(14203, 15203, 16203)의 처리 모듈을 각각 제 3 반송 로봇(14273, 15273, 16273) 및 제 3 처리 모듈(14263, 15263, 16263)이라 칭한다. 또한, 도 28에 도시된 버퍼 스테이션들을 왼쪽에서부터 순차적으로 제 1 버퍼 스테이션(14401, 15401, 16401)과 제 2 버퍼 스테이션(14402, 15402, 16402)이라 칭한다. 또한, 도 29에서 가장 오른쪽에 도시된 공정 설비는 제 4 공정 설비(16204)라 칭하고, 제 4 공정 설비(16204)에 제공된 반송 로봇 및 제 4 공정 설비(16204)의 처리 모듈을 각각 제 4 반송 로봇(16274) 및 제 4 처리 모듈(16264)이라 칭한다. 또한, 도 29에서 가장 오른쪽에 도시된 버퍼 스테이션을 제 3 버퍼 스테이션(16403)이라 칭한다.Next, an example of a method of performing a process on substrates using a substrate processing system having a buffer station will be described with reference to FIGS. 27 to 29. Hereinafter, for convenience of description, the process equipments shown in FIGS. 27 to 29 are sequentially processed from the left side to the first process equipments 14401, 15201, 16201, the second process equipments 14202, 15202, 16202, and the third process, respectively. The facilities 14203, 15203, and 16203 are called. In addition, the transfer robots provided in the transfer modules of the first process equipments 14401, 15201, and 16201 and the processing modules of the first process equipments 14401, 15201, and 16201 are respectively transferred to the first transfer robots 14251, 15271, 16271, and 1st. The handling robots of the second processing equipments 14202, 15202, 16202 and the processing robots of the second processing equipments 14202, 15202, and 16202, which are referred to as the first processing modules 14261, 15261, and 16261, respectively, The transfer robots and the third process facilities 14203, referred to as the transfer robots 14272, 15272, 16272 and the second processing modules 14262, 15262, 16262, are provided to the transfer modules of the third process facilities 14203, 15203, 16203. The processing modules of the 15203 and 16203 are referred to as third transfer robots 14273, 15273, and 16273 and third processing modules 14263, 15263, and 16263, respectively. In addition, the buffer stations illustrated in FIG. 28 are referred to as first buffer stations 1401, 15401, and 16401 and second buffer stations 1402, 15402, and 16402 sequentially from the left side. In addition, the process equipment shown at the far right in FIG. 29 is called the 4th process equipment 16204, and 4th conveys the processing robot of the 4th process equipment 16204 and the conveyance robot provided to the 4th process equipment 16204, respectively. Robot 16274 and fourth processing module 16264 are referred to. In addition, the buffer station shown at the far right in FIG. 29 is called a third buffer station 16403.

또한, 아래의 예에서는 하나의 용기(30)에 25매의 기판이 수납된 경우를 예로 들어 설명한다. 용기(30)에 수납된 25매의 기판을 순차적으로 제 1 기판, 제 2 기판, ㆍㆍㆍ, 제 25 기판이라 칭한다. In addition, in the following example, the case where 25 board | substrates are accommodated in one container 30 is demonstrated as an example. The 25 board | substrates accommodated in the container 30 are called a 1st board | substrate, a 2nd board | substrate, a 25th board | substrate sequentially.

도 27은 동일한 공정을 수행하는 공정 설비들(14201, 14202, 14203)이 버퍼 스테이션(14401, 14402))을 통해 연결된 기판 처리 시스템(14000)에서 기판들이 이동되는 경로를 보여준다. 제 1 공정 설비(14201), 제 2 공정 설비(14202), 그리고 제 3 공정 설비(14203)에는 각각 4개의 공정 챔버들(14266)이 제공되고, 이들 네 개의 공정 챔버들(14266)은 모두 동일한 공정을 수행한다. 따라서 기판 처리 시스템(14000)에는 동일한 공정을 수행하는 공정 챔버(14266)가 12 개가 제공된다. 25매의 기판 중 제 1 기판 내지 제 4 기판, 제 13 기판 내지 제 16 기판, 그리고 제 25 기판은 제 1 처리 모듈(14261)에서 공정이 수행되고, 제 5 기판 내지 제 8 기판, 제 17 내지 제 20 기판은 제 2 처리 모듈(14262)에서 공정이 수행되고, 제 9 기판 내지 제 12 기판, 그리고 제 21 기판 내지 제 24 기판은 제 3 처리 모듈(14263)에서 공정이 수행된다. 도 27에서 a1, a2, a3, 그리고 a4는 제 1 처리 모듈(14261)에서 공정이 수행되는 기판들의 이동 경로를 순차적으로 나타내고, b1, b2, b3, 그리고 b4는 제 2 처리 모듈(14262)에서 공정이 수행되는 기판들의 이동 경로를 순차적으로 나타내고, c1, c2, c3 그리고 c4는 제 3 처리 모듈(14263)에서 공정이 수행되는 기판들의 이동 경로를 순차적으로 나타낸다.FIG. 27 shows a path through which substrates are moved in a substrate processing system 14000, through which process facilities 14401, 14202, 14203 that perform the same process are connected through buffer stations 14401, 14402. The first process facility 14401, the second process facility 14202, and the third process facility 14203 are each provided with four process chambers 14268, and these four process chambers 14268 are all the same. Perform the process. Accordingly, the substrate processing system 14000 is provided with twelve process chambers 14266 performing the same process. The first to fourth substrates, the thirteenth to sixteenth substrates, and the twenty-fifth substrates among the 25 substrates are processed by the first processing module 14261, and the fifth to eighth substrates and the seventeenth to seventh substrates. The 20th substrate is processed in the second processing module 14262, and the 9th to 12th substrates, and the 21st to 24th substrates are processed in the third processing module 14263. In FIG. 27, a1, a2, a3, and a4 sequentially indicate movement paths of substrates on which the process is performed in the first processing module 14261, and b1, b2, b3, and b4 in the second processing module 14262. The movement paths of the substrates on which the process is performed are sequentially shown, and c1, c2, c3, and c4 sequentially indicate the movement paths of the substrates on which the process is performed by the third processing module 14263.

처음에 오버헤드 트랜스터(40)에 의해 기판들이 수납된 용기(30)가 제 1 공정 설비(14201)의 로드 포트(14221)에 놓인다. Initially, the vessel 30 containing the substrates by the overhead transfer 40 is placed in the load port 14221 of the first process equipment 14401.

제 1 반송 로봇(14271)은 제 1 처리 모듈(14261)에서 공정이 수행될 기판들을 용기(30)로부터 제 1 처리 모듈(14261)로 반송하고, 제 2 처리 모듈(14262) 및 제 3 처리 모듈(14263)에서 공정이 수행될 기판들을 용기(30)로부터 제 1 버퍼 스테이션(14401)으로 반송한다. 또한, 제 1 반송 로봇(14271)은 제 1 처리 모듈(14261)에서 공정이 완료된 기판들을 제 1 버퍼 스테이션(14401)으로 반송한다. The first transfer robot 14271 transfers the substrates to be processed in the first processing module 14261 from the container 30 to the first processing module 14261, and the second processing module 14262 and the third processing module. The substrates to be processed at 14263 are conveyed from the vessel 30 to the first buffer station 1401. In addition, the first transfer robot 14271 transfers the substrates on which the process is completed in the first processing module 14261 to the first buffer station 14401.

용기(30)로부터 기판들이 모두 꺼내어지면 용기(30)는 제 3 공정 설비(14203)의 로드 포트(14223)로 이송될 수 있다.Once all of the substrates are removed from the vessel 30, the vessel 30 may be transferred to the load port 14223 of the third process facility 14203.

제 2 반송 로봇(14272)은 제 2 처리 모듈(14262)에서 공정이 수행될 기판들을 제 1 버퍼 스테이션(14401)으로부터 제 2 처리 모듈(14262)로 반송하고, 제 3 처리 모듈(14263)에서 공정이 수행될 기판들을 제 1 버퍼 스테이션(14401)에서 제 2 버퍼 스테이션(14402)으로 반송한다. 또한, 제 2 반송 로봇(14272)은 제 1 처리 모듈(14261)에서 공정이 완료된 기판들을 제 1 버퍼 스테이션(14401)에서 제 2 버퍼 스테이션(14402)으로 반송하고, 제 2 처리 모듈(14262)에서 공정이 완료된 기판들을 제 2 처리 모듈(14262)에서 제 2 버퍼 스테이션(14402)으로 반송한다. The second transfer robot 14272 transfers the substrates to be processed in the second processing module 14262 from the first buffer station 14401 to the second processing module 14262 and processes the third processing module 14263 in the third processing module 14263. The substrates to be performed are conveyed from the first buffer station 14401 to the second buffer station 1402. In addition, the second transfer robot 14272 transfers the substrates whose processes are completed in the first processing module 14261 from the first buffer station 14401 to the second buffer station 1402, and then, in the second processing module 14262. The substrates having the process are transferred from the second processing module 14262 to the second buffer station 1402.

제 3 반송 로봇(14273)은 제 3 처리 모듈(14263)에서 공정이 수행될 기판들을 제 2 버퍼 스테이션(14402)에서 제 3 처리 모듈(14263)로 반송한다. 또한, 제 3 반송 로봇(14273)은 제 1 처리 모듈(14261) 및 제 2 처리 모듈(14262)에서 공정이 완료된 기판들을 제 2 버퍼 스테이션(14402)에서 제 3 공정 설비(14203)의 로드 포트(14223)에 놓인 용기(30)로 반송한다. 또한, 제 3 반송 로봇(14273)은 제 3 처리 모듈(14263)에서 공정이 완료된 기판들을 제 3 처리 모듈(14263)에서 제 3 공정 설비(14203)의 로드 포트(14223)에 놓인 용기(30)로 반송한다.The third transfer robot 14273 transfers the substrates to be processed in the third processing module 14263 from the second buffer station 1442 to the third processing module 14263. In addition, the third transfer robot 14273 may load the substrates of which the processing is completed in the first processing module 14221 and the second processing module 14262 at the second buffer station 1402 at the load port of the third processing facility 14203. It returns to the container 30 put in 14223. In addition, the third transfer robot 14273 includes a container 30 in which substrates having been processed in the third processing module 14263 are placed in the load port 14223 of the third processing equipment 14203 in the third processing module 14263. Return to

용기(30)로부터 제 1 버퍼 스테이션(14401)으로 기판 반송시, 그리고 제 1 버퍼 스테이션(14401)에서 제 2 버퍼 스테이션(14402)으로 기판 반송시, 그리고 제 2 버퍼 스테이션(14402)에서 용기(30)로 기판 반송시, 제 1 반송 로봇(14271), 제 2 반송 로봇(14272), 그리고 제 3 반송 로봇(14273) 각각은 복수 매의 기판을 동시에 반송할 수 있다.Substrate transfer from the vessel 30 to the first buffer station 14401 and substrate transfer from the first buffer station 14401 to the second buffer station 1402 and vessel 30 in the second buffer station 14402. ), Each of the first transfer robot 14271, the second transfer robot 14272, and the third transfer robot 14273 may simultaneously transfer a plurality of substrates.

도 27의 기판 처리 방법에 의하면, 복수의 공정 설비(14201, 14202, 14203)에서 하나의 용기(30)에 수납된 복수의 기판들을 나누어서 동시에 공정을 수행할 수 있으므로, 하나의 용기(30)에 수납된 복수의 기판들 전체에 대한 공정 소요 시간을 단축할 수 있다. According to the substrate processing method of FIG. 27, since a plurality of substrates stored in one container 30 may be divided in a plurality of process equipments 1401, 14202, and 14203, a process may be performed at the same time. The process time for all of the plurality of substrates stored can be shortened.

도 28은 상이한 공정을 수행하는 공정 설비들(15201, 15202, 15203)이 버퍼 스테이션(15401, 15402)을 통해 연결된 기판 처리 시스템(15000)에서 기판들이 이동되는 경로를 보여준다. 28 shows a path through which substrates are moved in a substrate processing system 15000 to which process facilities 15201, 15202, 15203 that perform different processes are connected through buffer stations 15401, 15402.

제 1 공정 설비(15201), 제 2 공정 설비(15202), 그리고 제 3 공정 설비(15203)는 하나의 기판에 대해 순차적으로 공정을 수행하도록 제공된다. 도 28에서 d1, d2, d3, d4, d5, 그리고 d6는 순차적으로 기판들이 이동되는 경로를 나타낸다. 도 28의 기판 처리 시스템(15000)에서 용기(30)에 제공된 기판들은 모두 제 1 처리 모듈(15261), 제 2 처리 모듈(15262), 그리고 제 3 처리 모듈(15263)에서 순차적으로 공정이 수행된다.The first process equipment 15201, the second process equipment 15202, and the third process equipment 15203 are provided to perform the process on one substrate sequentially. In FIG. 28, d1, d2, d3, d4, d5, and d6 indicate a path in which substrates are sequentially moved. In the substrate processing system 15000 of FIG. 28, all of the substrates provided to the container 30 are sequentially processed in the first processing module 15261, the second processing module 15262, and the third processing module 15263. .

처음에 오버헤드 트랜스퍼(40)에 의해 기판들이 수납된 용기(30)가 제 1 공정 설비(15201)의 로드 포트(15221)에 놓인다. Initially, the vessel 30 containing the substrates by the overhead transfer 40 is placed in the load port 15221 of the first process equipment 15201.

제 1 반송 로봇(15271)은 용기(30)로부터 기판들을 제 1 처리 모듈(15261)로 반송한다. 용기(30) 내 기판들이 모두 제 1 처리 모듈(15261)로 반송되면, 오버헤드 트랜스퍼(40)에 의해 용기(30)는 제 3 공정 설비(15203)의 로드 포트(15223)로 이송된다. 제 1 처리 모듈(15261)에서 공정이 완료된 기판들은 다른 기판들보다 먼저, 제 1 반송 로봇(15271)에 의해 제 1 버퍼 스테이션(15401)으로 반송된다. 제 2 반송 로봇(15272)은 제 1 버퍼 스테이션(15401)에서 기판들을 제 2 처리 모듈(15262)로 반송한다. 제 2 처리 모듈(15262)에서 공정이 완료된 기판들은 다른 기판들보다 먼저 제 2 버퍼 스테이션(15402)으로 반송된다. 제 3 반송 로봇(15273)은 제 2 버퍼 스테이션(15402)에서 기판들을 제 3 처리 모듈(15263)로 반송한다. 제 3 처리 모듈(15263)에서 공정이 완료된 기판들은 다른 기판들보다 먼저 용기(30)로 반송된다.The first transfer robot 15271 transfers the substrates from the container 30 to the first processing module 15261. When the substrates in the vessel 30 are all conveyed to the first processing module 15261, the vessel 30 is transferred to the load port 15223 of the third process facility 15203 by the overhead transfer 40. Substrates having completed the process in the first processing module 15261 are transferred to the first buffer station 15401 by the first transfer robot 15271 before other substrates. The second transfer robot 15272 carries the substrates to the second processing module 15262 at the first buffer station 15401. The substrates processed in the second processing module 15262 are returned to the second buffer station 15402 before other substrates. The third transfer robot 15273 carries the substrates to the third processing module 15263 at the second buffer station 15402. The substrates processed in the third processing module 15263 are transferred to the container 30 before other substrates.

도 28의 기판 처리 방법에 의하면, 버퍼 스테이션(15401, 15402)을 이용하여 기판들이 직접 공정 설비들(15201, 15202, 15203) 간에 이동될 수 있으므로, 각 기판이 용기(30) 내 다른 모든 기판들에 대해 특정 공정이 완료될 까지 대기할 필요 없이 곧바로 다른 공정을 수행할 수 있다. 또한, 기판들이 버퍼 스테이션(15401, 15402)을 통해 공정 설비들(15201, 15202, 15203) 간에 직접 반송될 수 있으므로, 오버헤드 트랜스퍼(40)를 이용하여 공정 설비들(15201, 15202, 15203) 간에 기판을 반송할 때에 비해 반송 시간을 단축할 수 있다.According to the substrate processing method of FIG. 28, the substrates can be moved directly between the process facilities 15201, 15202, 15203 using the buffer stations 15401, 15402, so that each substrate is transferred to all other substrates in the vessel 30. Other processes can be carried out directly without having to wait for the specific process to complete. In addition, since the substrates can be directly conveyed between the process facilities 15201, 15202, 15203 through the buffer stations 15401, 15402, between the process facilities 15201, 15202, 15203 using the overhead transfer 40. The conveyance time can be shortened compared with conveyance of a board | substrate.

도 29는 동일한 공정을 수행하는 공정 설비들과 상이한 공정을 수행하는 공정 설비들이 버퍼 스테이션(16401, 16402, 16403)을 통해 연결된 기판 처리 시스템(16000)에서 기판들이 이동되는 경로의 일 예를 보여준다. 제 1 공정 설비(16201)와 제 3 공정 설비(16203)는 기판에 대해 동일한 공정을 수행하고, 제 2 공정 설비(16202)와 제 4 공정 설비(16204)는 기판에 대해 동일한 공정을 수행한다. 또한, 제 2 공정 설비(16202)는 기판에 대해 제 1 공정 설비(16201)에서 이루어진 공정의 후속 공정을 수행한다. FIG. 29 shows an example of a path in which substrates are moved in the substrate processing system 16000 to which process equipments performing different processes and process equipments performing different processes are connected through buffer stations 16401, 16402, and 16403. The first process equipment 16201 and the third process equipment 16203 perform the same process on the substrate, and the second process equipment 16202 and the fourth process equipment 16204 perform the same process on the substrate. In addition, the second process facility 16202 performs a process subsequent to the process performed at the first process facility 16201 on the substrate.

제 1 공정 설비(16201)와 제 3 공정 설비(16203)에는 각각 네 개의 공정 챔버들(16266)이 제공되고, 제 2 공정 설비(16202)와 제 4 공정 설비(16204)에는 각각 두 개의 공정 챔버들(16267)이 제공된다. 이 경우, 제 2 공정 설비(16202)의 공정 챔버(16267)에서 하나의 기판에 대해 소요되는 공정 시간은 제 1 공정 설비(16201)의 공정 챔버(16266)에서 하나의 기판에 대해 소요되는 공정 시간보다 짧을 수 있다Four process chambers 16162 are provided for the first process equipment 16201 and the third process equipment 16203, and two process chambers for the second process equipment 16202 and the fourth process equipment 16204, respectively. 16267 is provided. In this case, the process time required for one substrate in the process chamber 16162 of the second process equipment 16202 is the process time spent for one substrate in the process chamber 16162 of the first process equipment 16201. Can be shorter

25매의 기판 중 제 1 기판 내지 제 4 기판, 제 9 기판 내지 제 12 기판, 제 17 기판 내지 제 20 기판, 그리고 제 25 기판은 제 1 처리 모듈(16261) 및 제 2 처리 모듈(16262)에서 순차적으로 공정이 수행되고, 제 5 기판 내지 제 8 기판, 제 13 내지 제 16 기판, 제 21 기판 내지 제 24 기판은 제 3 처리 모듈(16263) 및 제 4 처리 모듈(16264)에서 순차적으로 공정이 수행된다. 도 20에서 e1, e2, e3, e4, e5, 그리고 e6는 제 1 처리 모듈(16261) 및 제 2 처리 모듈(16262)에서 공정이 수행되는 기판들의 이동 경로를 순차적으로 나타내고, f1, f2, f3, f4, f5, 그리고 f6는 제 3 처리 모듈(16263) 및 제 4 처리 모듈(16264)에서 공정이 수행되는 기판들의 이동 경로를 순차적으로 나타낸다. Of the 25 substrates, the first to fourth substrates, the ninth to twelfth substrates, the seventeenth to twentieth substrates, and the twenty-fifth substrates are formed by the first processing module 16261 and the second processing module 16262. The processes are sequentially performed, and the fifth to eighth substrates, the thirteenth to sixteenth substrates, and the twenty-first and twenty-fourth substrates are sequentially processed by the third processing module 16263 and the fourth processing module 16264. Is performed. In FIG. 20, e1, e2, e3, e4, e5, and e6 sequentially represent movement paths of substrates on which the process is performed in the first processing module 16261 and the second processing module 16262, and f1, f2, and f3. , f4, f5, and f6 sequentially indicate movement paths of the substrates on which the process is performed in the third processing module 16263 and the fourth processing module 16264.

처음에 오버헤드 트랜스터(40)에 의해 기판들이 수납된 용기(30)가 제 1 공정 설비(16201)의 로드 포트(16221)에 놓인다. Initially, the vessel 30 containing the substrates by the overhead transfer 40 is placed in the load port 16221 of the first process equipment 16201.

제 1 반송 로봇(16271)은 제 1 처리 모듈(16261) 및 제 2 처리 모듈(16262)에서 공정이 수행될 기판들을 용기(30)로부터 제 1 처리 모듈(16261)로 반송하고, 제 3 처리 모듈(16263) 및 제 4 처리 모듈(16264)에서 공정이 수행될 기판들은 용기(30)로부터 제 1 버퍼 스테이션(16401)으로 반송한다. 또한, 제 1 반송 로봇(16271)은 제 1 처리 모듈(16261)에서 공정이 완료된 기판들을 제 1 버퍼 스테이션(16401)으로 반송한다. The first transfer robot 16271 transfers the substrates to be processed in the first processing module 16261 and the second processing module 16262 from the container 30 to the first processing module 16261, and the third processing module. The substrates to be processed in the 16263 and the fourth processing module 16264 are conveyed from the container 30 to the first buffer station 16401. In addition, the first transfer robot 16271 transfers the substrates on which the process is completed in the first processing module 16261 to the first buffer station 16401.

용기(30)로부터 기판들이 모두 꺼내어지면 용기(30)는 제 4 공정 설비(16204)의 로드 포트(16224)로 이송될 수 있다.Once all of the substrates are removed from the vessel 30, the vessel 30 may be transferred to the load port 16224 of the fourth process facility 16204.

제 2 반송 로봇(16272)은 제 3 처리 모듈(16263) 및 제 4 처리 모듈(16264)에서 공정이 수행될 기판들을 제 1 버퍼 스테이션(16401)으로부터 제 2 버퍼 스테이션(16402)으로 반송한다. 또한, 제 2 반송 로봇(16272)은 제 1 처리 모듈(16261)에서 공정이 수행된 기판들을 제 1 버퍼 스테이션(16401)에서 제 2 처리 모듈(16262)로 반송한다. 또한, 제 2 반송 로봇(16272)은 제 2 처리 모듈(16262)에서 공정이 완료된 기판들을 제 2 처리 모듈(16262)에서 제 3 버퍼 스테이션(16403)으로 반송한다.The second transfer robot 16272 transfers the substrates to be processed in the third processing module 16263 and the fourth processing module 16264 from the first buffer station 16401 to the second buffer station 16402. In addition, the second transfer robot 16272 transfers the substrates processed in the first processing module 16261 from the first buffer station 16401 to the second processing module 16262. In addition, the second transfer robot 16272 transfers the substrates on which the process is completed in the second processing module 16262 from the second processing module 16262 to the third buffer station 16403.

제 3 반송 로봇(16273)은 제 3 처리 모듈(16263) 및 제 4 처리 모듈(16264)에서 공정이 수행될 기판들을 제 2 버퍼 스테이션(16402)에서 제 3 처리 모듈(16263)로 반송한다. 또한, 제 3 반송 로봇(16273)은 제 2 처리 모듈(16262)에서 공정이 수행된 기판들을 제 2 버퍼 스테이션(16402)에서 제 3 버퍼 스테이션(16403)으로 반송한다. 또한, 제 3 반송 로봇(16273)은 제 3 처리 모듈(16263)에서 공정이 수행된 기판들을 제 3 버퍼 스테이션(16403)으로 반송한다. The third transfer robot 16273 transfers the substrates to be processed in the third processing module 16263 and the fourth processing module 16264 from the second buffer station 16402 to the third processing module 16263. In addition, the third transfer robot 16273 transfers the substrates processed in the second processing module 16262 from the second buffer station 16402 to the third buffer station 16403. In addition, the third transfer robot 16273 transfers the substrates on which the process is performed in the third processing module 16263 to the third buffer station 16403.

제 4 반송 로봇(16274)은 제 3 처리 모듈(16263)에서 공정이 수행된 기판들을 제 3 버퍼 스테이션(16403)에서 제 4 처리 모듈(16264)로 반송한다. 또한, 제 4 반송 로봇(16274)은 제 4 처리 모듈(16264)에서 공정이 수행된 기판들을 제 4 처리 모듈(16264)에서 제 4 공정 설비(16204)의 로드 포트(16224)에 놓인 용기(30)로 반송한다. 또한, 제 4 반송 로봇(16274)은 제 2 처리 모듈(16262)에서 공정이 수행된 기판들을 제 3 버퍼 스테이션(16403)에서 제 4 공정 설비(16204)의 로드 포트(16224)에 놓인 용기(30)로 반송한다.The fourth transfer robot 16274 transfers the substrates processed in the third processing module 16263 from the third buffer station 16403 to the fourth processing module 16264. In addition, the fourth transfer robot 16274 places the substrates on which the processing is performed in the fourth processing module 16264 in the load port 16224 of the fourth processing facility 16204 in the fourth processing module 16264. Return to). In addition, the fourth transfer robot 16274 puts the substrates subjected to the process in the second processing module 16262 in the load port 16224 in the third processing station 16204 at the third buffer station 16403. Return to).

1000 : 기판 처리 시스템
1200 : 공정 설비
1220 : 로드 포트
1240 : 이송 모듈
1260 : 처리 모듈
1400 : 버퍼 스테이션
1440 : 버퍼 부재
1000: Substrate Processing System
1200: process equipment
1220: Load Port
1240: transfer module
1260 processing module
1400: buffer station
1440: buffer member

Claims (25)

내부에 반송 로봇이 제공된 이송 모듈 및 상기 이송 모듈에 연결되는 처리 모듈을 각각 가지는 복수의 공정 설비들과;
인접하는 상기 이송 모듈들 사이에 위치되며, 이들 간에 기판 이송을 위해 제공되는 버퍼 스테이션을 포함하되,
상기 복수의 공정 설비들은,
상기 이송 모듈들과 상기 버퍼 스테이션이 배열되는 방향을 따라 제공되는 연결 라인을 기준으로 상기 처리 모듈이 상기 연결 라인의 제 1 측에 위치되는 적어도 하나의 제 1 설비와;
상기 연결 라인을 기준으로 상기 처리 모듈이 상기 연결 라인의 제 2 측에 위치되는 적어도 하나의 제 2 설비를 구비하며,
상기 제 1 설비에 제공되는 상기 이송 모듈은 상기 제 2 설비에 제공되는 상기 이송 모듈에 비해 상기 연결 라인을 기준으로 상기 제 1 측을 향해 더 돌출되게 제공되는 기판 처리 시스템.
A plurality of process facilities each having a transfer module provided with a transfer robot and a processing module connected to the transfer module;
A buffer station located between adjacent transfer modules, the buffer station being provided for substrate transfer therebetween,
The plurality of process facilities,
At least one first installation wherein said processing module is located on a first side of said connection line with respect to a connection line provided along a direction in which said transfer modules and said buffer station are arranged;
The processing module having at least one second facility positioned on a second side of the connection line with respect to the connection line,
The transfer module provided in the first facility is provided to protrude more toward the first side relative to the connection line than the transfer module provided in the second facility.
제 1 항에 있어서,
상기 제 1 설비에 제공되는 상기 이송 모듈은 상기 버퍼 스테이션보다 상기 연결 라인을 기준으로 상기 제 1 측을 향해 더 돌출되게 제공되는 기판 처리 시스템.
The method of claim 1,
The transfer module provided to the first facility is provided to protrude further toward the first side relative to the connection line than the buffer station.
제 2 항에 있어서,
상기 제 2 설비에 제공되는 상기 이송 모듈은 상기 버퍼 스테이션 및 상기 제 1 설비에 제공되는 상기 이송 모듈에 비해 상기 연결 라인으로부터 상기 제 2 측을 향해 더 돌출되게 제공되는 기판 처리 시스템.
The method of claim 2,
The transfer module provided to the second facility is provided to protrude further from the connection line toward the second side as compared to the transfer module provided to the buffer station and the first facility.
제 1 항에 있어서,
인접하는 상기 제 1 설비들 사이에는 하나의 상기 제 2 설비가 위치되는 기판 처리 시스템.
The method of claim 1,
A substrate processing system in which one second facility is located between adjacent first facilities.
제 4 항에 있어서,
상기 연결 라인에 평행한 방향으로 상기 제 2 설비의 상기 처리 모듈의 최대 폭은 상기 연결 라인에 평행한 방향으로 상기 제 2 설비의 상기 이송 모듈의 폭보다 길게 제공된 기판 처리 시스템.
The method of claim 4, wherein
And a maximum width of the processing module of the second facility in a direction parallel to the connection line is provided longer than a width of the transfer module of the second facility in a direction parallel to the connection line.
제 4 항에 있어서,
상기 연결 라인에 평행한 방향으로 상기 제 2 설비의 상기 처리 모듈의 최대 폭의 길이는 상기 연결 라인에 평행한 방향으로 상기 제 2 설비의 상기 이송 모듈의 폭과 그 양측에 위치한 버퍼스테이션의 폭의 길이의 합보다 길게 제공된 기판 처리 시스템.
The method of claim 4, wherein
The length of the maximum width of the processing module of the second facility in the direction parallel to the connection line is equal to the width of the transfer module of the second facility in the direction parallel to the connection line and the width of the buffer station located on both sides thereof. A substrate processing system provided longer than the sum of the lengths.
제 5 항에 있어서,
상기 공정 설비들은 모두 동일한 크기 및 형상을 가지도록 제공된 기판 처리 시스템.
The method of claim 5, wherein
And the processing facilities are all provided to have the same size and shape.
제 1 항에 있어서,
각각의 상기 공정 설비는 상기 이송 모듈에 결합되는 하나 또는 복수의 로드 포트를 더 구비하며,
각각의 상기 이송 모듈에서 상기 처리 모듈이 결합되는 면과 상기 로드 포트가 결합되는 면은 상기 연결 라인을 중심으로 서로 마주보는 기판 처리 시스템.
The method of claim 1,
Each said processing equipment further comprises one or a plurality of load ports coupled to said transfer module,
And a surface on which the processing module is coupled and a surface on which the load port is coupled are facing each other with respect to the connection line.
제 8 항에 있어서,
상기 제 1 설비의 상기 로드 포트들과 상하로 마주보도록 제공되며 상기 제 1 설비의 상기 로드 포트로 기판들이 수납된 용기를 반송하는 반송 장치의 이동을 안내하는 제 1 가이드 레일을 포함하는 기판 처리 시스템.
The method of claim 8,
A substrate processing system provided to face up and down the load ports of the first facility and including a first guide rail for guiding movement of a conveying device for transporting a container containing substrates to the load port of the first facility; .
제 9 항에 있어서,
상기 제 2 설비의 상기 로드 포트들과 상하로 마주보도록 제공되며 상기 제 2 설비의 상기 로드 포트로 기판들이 수납된 용기를 반송하는 반송 장치의 이동을 안내하는 제 2 가이드 레일을 포함하는 기판 처리 시스템.
The method of claim 9,
And a second guide rail provided to face up and down the load ports of the second facility, and for guiding movement of a conveying device for transporting a container containing substrates to the load port of the second facility. .
제 1 항에 있어서,
상기 복수의 공정 설비들 중 적어도 하나의 공정 설비는,
상기 처리 모듈이 연결되는 상기 이송 모듈의 측면과 마주보는 상기 이송 모듈의 측면에 연결되며 기판들이 수용되는 용기가 놓이는 적어도 하나의 로드 포트와;
상기 로드 포트를 기준으로 상기 이송 모듈과 반대 측에 위치하며 상기 로드 포트에 연결된 공정 처리 장치를 더 포함하는 기판 처리 시스템.
The method of claim 1,
At least one of the plurality of process facilities,
At least one load port connected to a side of the transfer module facing the side of the transfer module to which the processing module is connected and in which a container in which substrates are accommodated is placed;
And a process processing apparatus positioned opposite to the transfer module with respect to the load port and connected to the load port.
제 1 항에 있어서,
상기 복수의 공정 설비들 중 적어도 하나의 공정 설비는 상기 처리 모듈이 연결되는 상기 이송 모듈의 측면과 마주보는 상기 이송 모듈의 측면에 연결되는 다른 처리 모듈을 더 포함하는 기판 처리 시스템.
The method of claim 1,
At least one of the plurality of processing facilities further comprises another processing module connected to a side of the transfer module facing the side of the transfer module to which the processing module is connected.
제 1 항에 있어서,
상기 버퍼 스테이션은,
하우징과;
상기 하우징 내에 배치되며 기판이 놓이는 버퍼를 포함하되,
상기 하우징은 신축 가능하게 제공되는 기판 처리 시스템.
The method of claim 1,
The buffer station,
A housing;
A buffer disposed within the housing and on which the substrate is placed;
And the housing is elastically provided.
제 13 항에 있어서,
상기 하우징의 적어도 일부는 벨로즈로 제공되는 기판 처리 시스템.
The method of claim 13,
At least a portion of the housing is provided in a bellows.
내부에 반송 로봇이 제공된 제 1 이송 모듈과;
내부에 반송 로봇이 제공된 제 2 이송 모듈과;
상기 제 1 이송 모듈과 상기 제 2 이송 모듈 사이에 배치되며, 이들 간에 기판 이송을 위해 제공되는 제 1 버퍼 스테이션과;
상기 제 1 이송 모듈, 상기 제 1 버퍼 스테이션, 상기 제 2 이송 모듈이 배열되는 방향인 연결 라인을 기준으로, 상기 연결 라인의 제 1 측에 위치되며 상기 제 1 이송 모듈에 결합되는 제 1 처리 모듈과;
상기 연결 라인의 제 2 측에 위치되며 상기 제 2 이송 모듈에 결합되는 제 2 처리 모듈을 포함하되,
상기 제 1 이송 모듈은 상기 연결 라인을 기준으로 상기 제 2 이송 모듈보다 상기 제 1 측을 향해 더 돌출되게 제공되는 기판 처리 시스템.
A first transfer module provided with a transfer robot therein;
A second transfer module provided with a transfer robot therein;
A first buffer station disposed between the first transfer module and the second transfer module, the first buffer station being provided for substrate transfer therebetween;
A first processing module positioned on a first side of the connection line and coupled to the first transfer module with respect to the connection line in the direction in which the first transfer module, the first buffer station, and the second transfer module are arranged; and;
A second processing module located on the second side of the connection line and coupled to the second transfer module;
And the first transfer module is provided to protrude further toward the first side than the second transfer module with respect to the connection line.
제 15 항에 있어서,
내부에 반송 로봇이 제공되며, 상기 제 2 이송 모듈을 기준으로 상기 제 1 이송 모듈과 반대 측에 위치된 제 3 이송 모듈과;
상기 제 2 이송 모듈과 상기 제 3 이송 모듈 사이에 배치되며, 이들 간에 기판 이송을 위해 제공되는 제 2 버퍼 스테이션과;
상기 연결 라인의 상기 제 1 측에 위치되며, 상기 제 3 이송 모듈에 결합되는 제 3 처리 모듈을 더 포함하되,
상기 제 3 이송 모듈은 상기 연결 라인을 기준으로 상기 제 2 이송 모듈보다 상기 제 1 측을 향해 더 돌출되게 제공되는 기판 처리 시스템.
The method of claim 15,
A third transfer module provided inside the transfer robot, the third transfer module positioned on a side opposite to the first transfer module with respect to the second transfer module;
A second buffer station disposed between the second transfer module and the third transfer module and provided for substrate transfer therebetween;
A third processing module located on the first side of the connection line and coupled to the third transfer module;
And the third transfer module is provided to protrude further toward the first side than the second transfer module with respect to the connection line.
제 16 항에 있어서,
상기 제 2 이송 모듈은 상기 연결 라인을 기준으로 상기 제 1 이송 모듈 및 상기 제 3 이송 모듈보다 상기 제 2 측을 향해 더 돌출되게 제공되는 기판 처리 시스템.
17. The method of claim 16,
And the second transfer module is provided to protrude further toward the second side than the first transfer module and the third transfer module with respect to the connection line.
제 17 항에 있어서,
상기 제 1 이송 모듈은 상기 연결 라인을 기준으로 상기 제 1 버퍼 스테이션보다 상기 제 1 측으로 더 돌출되게 제공되고,
상기 제 2 이송 모듈은 상기 연결 라인을 기준으로 상기 제 1 버퍼 스테이션, 상기 제 2 버퍼 스테이션, 상기 제 1 이송 모듈, 그리고 상기 제 3 이송 모듈보다 상기 연결 라인의 상기 제 2 측으로 더 돌출되게 제공되는 기판 처리 시스템.
The method of claim 17,
The first transport module is provided to protrude further to the first side than the first buffer station with respect to the connection line,
The second transfer module is provided to protrude further to the second side of the connection line than the first buffer station, the second buffer station, the first transfer module, and the third transfer module with respect to the connection line. Substrate processing system.
제 18 항에 있어서,
상기 제 1 이송 모듈은 상기 연결 라인을 기준으로 상기 연결 라인의 상기 제 2 측을 향해 상기 제 1 버퍼 스테이션 및 상기 제 2 버퍼 스테이션과 대체로 동일한 거리로 돌출되게 제공되고,
상기 제 2 이송 모듈은 상기 연결 라인을 기준으로 상기 제 1 측을 향해 대체로 상기 제 1 버퍼 스테이션 및 상기 제 2 버퍼 스테이션과 동일한 거리로 돌출되게 제공되는 기판 처리 시스템.
The method of claim 18,
The first transfer module is provided to project toward the second side of the connection line with respect to the connection line at approximately the same distance as the first buffer station and the second buffer station,
And the second transfer module is provided to project toward the first side generally at the same distance as the first buffer station and the second buffer station with respect to the connection line.
제 16 항에 있어서,
상기 연결 라인의 상기 제 2 측에 위치되어 상기 제 1 이송 모듈에 결합되며, 기판이 수납된 용기가 놓이는 하나 또는 복수의 제 1 로드 포트들과;
상기 연결 라인의 상기 제 2 측에 위치되어 상기 제 3 이송 모듈에 결합되며, 기판이 수납된 용기가 놓이는 하나 또는 복수의 제 3 로드 포트들과;
상기 하나 또는 복수의 제 1 로드 포트들 및 상기 하나 또는 복수의 제 3 로드 포트들과 상하 방향으로 대향 되게 배치되며, 이들로 기판이 수납되는 용기를 반송하는 반송 장치의 이동을 안내하는 제 1 가이드 레일을 더 포함하는 기판 처리 시스템.
17. The method of claim 16,
One or a plurality of first load ports positioned on the second side of the connection line and coupled to the first transfer module, on which a container containing a substrate is placed;
One or a plurality of third load ports positioned on the second side of the connection line and coupled to the third transfer module, on which a container containing a substrate is placed;
A first guide which is disposed to face the one or the plurality of first load ports and the one or the plurality of third load ports in a vertical direction, and guides the movement of the conveying apparatus for transporting a container in which the substrate is stored; A substrate processing system further comprising a rail.
제 20항에 있어서,
상기 연결 라인의 상기 제 1 측에 위치되어 상기 제 2 이송 모듈에 결합되며, 기판이 수납된 용기가 놓이는 하나 또는 복수의 제 2 로드 포트들과;
상기 하나 또는 복수의 제 2 로드 포트들과 상하 방향으로 대향 되게 배치되며, 이들로 기판이 수납되는 용기를 반송하는 반송 장치의 이동을 안내하는 제 2 가이드 레일을 더 포함하는 기판 처리 시스템.
The method of claim 20,
One or a plurality of second load ports positioned on the first side of the connection line and coupled to the second transfer module, on which a container containing a substrate is placed;
And a second guide rail disposed to face the one or the plurality of second load ports in a vertical direction, and to guide the movement of the conveying apparatus for conveying a container in which the substrate is stored.
제 16 항에 있어서,
상기 연결 라인에 평행한 방향으로 상기 제 2 처리 모듈의 최대 폭은 상기 연결 라인에 평행한 방향으로 상기 제 2 이송 모듈의 폭보다 길게 제공된 기판 처리 시스템.
17. The method of claim 16,
And a maximum width of the second processing module in a direction parallel to the connection line is provided longer than a width of the second transfer module in a direction parallel to the connection line.
제 22 항에 있어서,
상기 제 1 이송 모듈, 상기 제 2 이송 모듈, 그리고 상기 제 3 이송 모듈은 대체로 동일한 크기 및 형상으로 제공되고,
상기 제 1 처리 모듈, 상기 제 2 처리 모듈, 그리고 상기 제 3 처리 모듈은 대체로 동일한 크기 및 형상으로 제공된 기판 처리 시스템.
The method of claim 22,
The first transfer module, the second transfer module, and the third transfer module are provided in substantially the same size and shape,
Wherein said first processing module, said second processing module, and said third processing module are provided in substantially the same size and shape.
제 16 항에 있어서,
상기 연결 라인에 평행한 방향으로 상기 제 2 처리 모듈의 최대 폭의 길이는 상기 연결 라인에 평행한 방향으로 상기 제 2 이송 모듈의 폭, 제 1 버퍼 스테이션의 폭, 그리고 제 2 버퍼 스테이션의 폭의 길이의 합보다 길게 제공된 기판 처리 시스템.
17. The method of claim 16,
The length of the maximum width of the second processing module in the direction parallel to the connection line is the length of the width of the second transfer module, the width of the first buffer station and the width of the second buffer station in the direction parallel to the connection line. A substrate processing system provided longer than the sum of the lengths.
제 15 항에 있어서,
상기 제 1 버퍼 스테이션은,
적어도 일부가 벨로즈로 이루어진 하우징과;
상기 하우징 내에 배치되며 기판이 놓이는 버퍼를 포함하는 기판 처리 시스템.
The method of claim 15,
The first buffer station,
A housing at least partially composed of bellows;
And a buffer disposed within the housing and on which the substrate is placed.
KR1020110013853A 2010-08-12 2011-02-16 System for treating substrates KR20120015987A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/208,032 US20120039691A1 (en) 2010-08-12 2011-08-11 Systems for treating a substrate
TW100128730A TW201212151A (en) 2010-08-12 2011-08-11 Systems for treating a substrate
JP2011176886A JP2012044175A (en) 2010-08-12 2011-08-12 Substrate processing system
CN2011104498807A CN102646613A (en) 2011-02-16 2011-12-29 System for treating substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020100077941 2010-08-12
KR20100077941 2010-08-12

Publications (1)

Publication Number Publication Date
KR20120015987A true KR20120015987A (en) 2012-02-22

Family

ID=45838531

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110013853A KR20120015987A (en) 2010-08-12 2011-02-16 System for treating substrates

Country Status (3)

Country Link
JP (1) JP2012044175A (en)
KR (1) KR20120015987A (en)
TW (1) TW201212151A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101271383B1 (en) * 2011-04-15 2013-06-10 삼성전자주식회사 Apparatus for buffering Wafers and system for manufacturing wafers having the apparatus
CN106449466A (en) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 Substrate processing system
KR20180101154A (en) * 2017-03-03 2018-09-12 (주)인스케이프 Operation System and Method for Controlling Operation System
KR20190008109A (en) * 2017-07-14 2019-01-23 가부시기가이샤 디스코 Inline system
KR20210016647A (en) * 2012-08-08 2021-02-16 어플라이드 머티어리얼스, 인코포레이티드 Linked vacuum processing tools and methods of using the same
US11257696B2 (en) 2016-10-18 2022-02-22 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103969807B (en) 2013-12-30 2016-04-20 玉晶光电(厦门)有限公司 Optical imaging lens and apply the electronic installation of this optical imaging lens
JP6955922B2 (en) * 2017-07-14 2021-10-27 株式会社ディスコ Inline system
KR102227066B1 (en) * 2019-01-28 2021-03-15 코스텍시스템(주) Transferring apparatus of wafer

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP2004207279A (en) * 2002-12-20 2004-07-22 Rorze Corp Sheet-shaped object manufacturing facility
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP2008024429A (en) * 2006-07-20 2008-02-07 Toshiba Corp Manufacturing method for electronic device
JP2009062604A (en) * 2007-09-10 2009-03-26 Tokyo Electron Ltd Vacuum treatment system, and method for carrying substrate

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101271383B1 (en) * 2011-04-15 2013-06-10 삼성전자주식회사 Apparatus for buffering Wafers and system for manufacturing wafers having the apparatus
KR20210016647A (en) * 2012-08-08 2021-02-16 어플라이드 머티어리얼스, 인코포레이티드 Linked vacuum processing tools and methods of using the same
CN106449466A (en) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 Substrate processing system
US11257696B2 (en) 2016-10-18 2022-02-22 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US11923215B2 (en) 2016-10-18 2024-03-05 Beijing E-town Semiconductor Technology Co., Ltd. Systems and methods for workpiece processing
KR20180101154A (en) * 2017-03-03 2018-09-12 (주)인스케이프 Operation System and Method for Controlling Operation System
KR20190008109A (en) * 2017-07-14 2019-01-23 가부시기가이샤 디스코 Inline system

Also Published As

Publication number Publication date
TW201212151A (en) 2012-03-16
JP2012044175A (en) 2012-03-01

Similar Documents

Publication Publication Date Title
KR20120015987A (en) System for treating substrates
CN107598909B (en) Substrate processing apparatus
US10497594B2 (en) Conveyance system
US9305818B2 (en) Substrate processing apparatus
US10913601B2 (en) Temporary storage system
KR102359364B1 (en) Substrate Processing Apparatus
WO2006091593A2 (en) Direct tool loading
US20140286733A1 (en) Load port and efem
WO2007051070A2 (en) Horizontal array stocker
KR20100109357A (en) Substrate processing apparatus and substrate transport method
US10319621B2 (en) Semiconductor processing assembly and facility
KR20140058370A (en) Substrate processing apparatus
US20100316467A1 (en) Substrate storage facility
US9048271B2 (en) Modular semiconductor processing system
US20190031440A1 (en) Storage apparatus and conveyance system
TWI656003B (en) Substrate transfer system and method
US10283390B2 (en) Apparatus for processing substrate
KR101768519B1 (en) Apparatus for Processing Substrate
KR20170051641A (en) Apparatus for loading substrate storage container
KR20120106533A (en) Substrate treating apparatus
KR20190021861A (en) Apparatus and method for transferring carrier
KR20180112527A (en) Die bonding apparatus
KR20210054992A (en) Substrate processing apparatus and substrate receptacle storage method
KR102419509B1 (en) Article transport facility
KR20070029172A (en) Relay station and substrate processing system using relay station

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination