JP2010157717A - Euvマスク検査 - Google Patents

Euvマスク検査 Download PDF

Info

Publication number
JP2010157717A
JP2010157717A JP2009285854A JP2009285854A JP2010157717A JP 2010157717 A JP2010157717 A JP 2010157717A JP 2009285854 A JP2009285854 A JP 2009285854A JP 2009285854 A JP2009285854 A JP 2009285854A JP 2010157717 A JP2010157717 A JP 2010157717A
Authority
JP
Japan
Prior art keywords
euv
array
mask
substrate
sensors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009285854A
Other languages
English (en)
Other versions
JP5399226B2 (ja
Inventor
Harry Sewell
シューエル,ハリー
Stoyan Nihtianov
ニィーティアノフ,ストイェン
Luigi Scaccabarozzi
スカッカバロッツィ,ルイジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of JP2010157717A publication Critical patent/JP2010157717A/ja
Application granted granted Critical
Publication of JP5399226B2 publication Critical patent/JP5399226B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/42Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of the same original
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】極端紫外線(EUV)マスクを検査するシステムおよび方法を提供する。
【解決手段】システムはセンサのアレイおよび光学システムを含む。センサのアレイは、受けた光エネルギーに対応するアナログデータを生成する。光学システムは、EUVパターニングデバイスの検査エリアからのEUV光をセンサのアレイに誘導し、アナログデータはEUVマスク上で発見される欠陥を判定し、または異常を補償するために使用される。
【選択図】 図2

Description

[0001] 本発明の実施形態は、検査システムに関し、例えば、デバイスを製造するために使用することができるリソグラフィシステム内の極端紫外線(EUV)マスクを検査するための検査システムに関する。
[0002] リソグラフィは、集積回路(IC)、ならびに他のデバイスおよび/または構造を製造するための重要なプロセスとして広く認識されている。リソグラフィ装置は、リソグラフィ中に使用される、所望のパターンを基板上、例えば、基板のターゲット部分上に付与する機械である。リソグラフィ装置を用いたICの製造中、パターニングデバイス(マスクまたはレチクルとも呼ばれる)は、ICにおける個々の層上に形成される回路パターンを生成する。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、ダイの一部、または1つ以上のダイを含む)に転写することができる。通常、パターンの転写は、基板上に設けられた放射感応性材料(例えば、レジスト)層上への結像によって行われる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。ICの異なる層を製造することは、多くの場合、異なるレチクルで異なる層の上に異なるパターンを結像することを要求する。したがって、レチクルをリソグラフィプロセス中に取り替える必要がある。
[0003] パターニングデバイス上のパターンにおける小さな欠陥または異常でさえも基板上に形成されたデバイスに対する大きな問題へと繋がり得る。一部の場合では、小さな欠陥は形成されたデバイスを動作不能にし得る。現代の品質の必要条件を満たすために、欠陥についてパターンを検査することを半導体リソグラフィは要求する。そのために、検査システムは欠陥検査検出装置を提供するために発展された。
[0004] 典型的には、パターン欠陥検査システムは、50nmほどの小ささの照明波長に対して利用可能である。しかしながら、現代の半導体リソグラフィは、現在の半導体回路で使用されているものより小さな回路フィーチャ寸法を得るためにかなり低い波長を使用している。EUV(極端紫外線)源(すなわち、5nmまたはそれ以上の波長を有する)は、適切な照明を提供し、使用されている11nmほどの小ささの波長を生成する。しかしながら、ほとんどの従来の検査システムは、EUV範囲内の波長を正確に検出することができない。さらに、マスク検査装置は、現行のリソグラフィプロセスのスループット要求との互換性を必要とする。しかしながら、最先端技術のEUV周波数では、利用可能な放射強度レベルは、典型的には、重要な課題をもたらす。
[0005] EUVマスクを検査するシステムおよび方法および/または物体を検査するためにEUV波長の光を利用する検査システムが必要である。
[0006] 第1実施形態では、EUVマスクを検査するためのシステムが提供される。システムは、センサのアレイおよび光学システムを含む。センサのアレイは、受けた光エネルギーに対応するアナログデータを生成するように構成されている。光学システムは、EUVパターニングデバイスの検査エリアからのEUV光をセンサのアレイに誘導するように構成され、アナログデータはEUVマスク上で発見される欠陥を判定し、または異常を補償する(例えば、光近接効果補正)ために使用される。
[0007] 一例では、センサのアレイは電荷結合素子のアレイを含む。
[0008] 一例では、システムは、補償に用いるためにアナログデータをデジタルデータに変換する変換器をさらに含む。
[0009] 第2実施形態では、極端紫外線(EUV)マスク検査方法が提供される。EUV放射ビームはスキャンEUVマスク上に誘導され、これはパターン形成されたEUV放射ビームとなる。パターン形成された放射ビームはEUVディテクタアレイ上で受けられる。EUVディテクタアレイは、複数の感光性エレメントを含む。複数の感光性エレメントは、縦軸に沿った複数のセルのコラムを有する二次元アレイ状に構成され、かつ基板上に形成されている。感光性エレメントの各々は、スキャンEUVマスクによってパターン形成されたEUV放射ビームによる照明に応答して電荷を生成する。感光性エレメントの各々は隣接する感光性エレメントに電気的結合されており、それによって各感光性エレメントにおける累積された電荷は、照明されたスキャンEUVマスクと同期して隣接する感光性エレメントへ切り替え可能に移動できる。アナログ−デジタル変換器(ADC)は、二次元アレイの各コラムの端に配置された感光性エレメントのうちの1つに結合されている。ADCから信号が出力される。
[0010] 本発明のさらなる特徴および利点、さらに本発明の様々な実施形態の構造及び動作を、添付の図面を参照しながら以下で詳細に説明する。本発明は、本明細書で説明する特定の実施形態に限定されないことに留意されたい。このような実施形態は、本明細書では例示のためにのみ提示されている。本明細書に含まれる教示に基づき、当業者には追加の実施形態が明白になる。
[0011] 本明細書に組み込まれ、本明細書の一部を形成する添付の図面は、本発明を図示し、さらに、記述とともに本発明の原理を説明し、当業者が本発明を作成して使用できるようにする働きをする。
[0012] 図1Aは、反射型リソグラフィ装置を示す。 [0012] 図1Bは、透過型リソグラフィ装置を示す。 [0013] 図2は、本発明の一実施形態によるCCDディテクタアレイを示す。 [0014] 図3は、本発明の一実施形態によるEUVマスク検査システムのための構成を示す。 [0015] 図4は、本発明の一実施形態によるEUVマスク検査の方法のフローチャートを提供する。
[0016] 本発明の特徴および利点は、以下で述べる詳細な説明を図面と組み合わせて考慮することにより、さらに明白になり、ここで同様の参照文字は全体を通して対応する要素を識別する。図面では、同様の参照番号は全体的に同一、機能的に類似する、および/または構造的に類似する要素を示す。要素が最初に現れた図面を、対応する参照番号の最も左側の(1つまたは複数の)桁で示す。
[0017] 本明細書は、本発明の特徴を組み込んだ1つまたは複数の実施形態を開示する。開示される(1つまたは複数の)実施形態は、本発明を例示するにすぎない。本発明の範囲は開示される(1つまたは複数の)実施形態に限定されない。本発明は添付の特許請求の範囲によって規定される。
[0018] 記載される(1つまたは複数の)実施形態、および「一実施形態」、「実施形態」、「例示的実施形態」などへの本明細書における言及は、記載される(1つまたは複数の)実施形態が特定の特徴、構造または特性を含むことができるが、それぞれの実施形態が必ずしも特定の特徴、構造または特性を含まないことを示す。さらに、このようなフレーズは、必ずしも同じ実施形態に言及するものではない。さらに、一実施形態に関連して特定の特徴、構造または特性について記載している場合、明示的に記載されているか、記載されていないかにかかわらず、このような特徴、構造、または特性を他の実施形態との関連で実行することが当業者の知識にあることが理解される。
[0019] 本発明の実施形態はハードウェア、ファームウェア、ソフトウェアまたはその任意の組合せで実施することができる。本発明の実施形態は、1つまたは複数のプロセッサで読み取り、実行することができる機械読取可能媒体に記憶した命令としても実施することができる。機械読取可能媒体は、機械(例えば計算デバイス)で読取可能な形態で情報を記憶するか、伝送する任意の機構を含むことができる。例えば、機械読取可能媒体は読み取り専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気ディスク記憶媒体、光記憶媒体、フラッシュメモリデバイス、電気、光、音響又は他の形態の伝搬信号(例えば搬送波、赤外線信号、デジタル信号など)、およびその他を含むことができる。さらに、ファームウェア、ソフトウェア、ルーチン、命令などを、本明細書では特定の行為を実行するものとして記述することができる。しかしながら、このような記述は便宜的なものにすぎず、このような行為は実際には計算デバイス、プロセッサ、コントローラ、またはファームウェア、ソフトウェア、ルーチン、命令などを実行する他のデバイスの結果であることを認識されたい。
[0020] しかしながら、このような実施形態についてさらに詳細に説明する前に、本発明の実施形態を実施できる例示的環境を提示することが有益である。
[0021] 図1Aおよび図1Bは、それぞれリソグラフィ装置100およびリソグラフィ装置100’を概略的に示す。リソグラフィ装置100およびリソグラフィ装置100’の各々は、放射ビームB(例えば、DUVまたはEUV放射)を調整するように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えば、マスク、レチクルまたは動的パターニングデバイス)MAを支持するように構成され、かつパターニングデバイスMAを正確に位置決めするように構成された第1ポジショナPMに連結されているサポート構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構成され、かつ基板Wを正確に位置決めするように構成された第2ポジショナPWに連結されている基板テーブル(例えば、ウェーハテーブル)WTとを備える。リソグラフィ装置100および100’は、パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分(例えば、1つ以上のダイを含む)C上に投影するように構成されている投影システムPSも有する。リソグラフィ装置100では、パターニングデバイスMAおよび投影システムPSは反射型であり、リソグラフィ装置100’では、パターニングデバイスMAおよび投影システムPSは透過型である。
[0022] 照明システムILとしては、放射Bを誘導し、整形し、または制御するために、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光コンポーネントを含むことができる。
[0023] サポート構造MTは、パターニングデバイスMAの向き、リソグラフィ装置100および100’の設計、および、パターニングデバイスMAが真空環境内で保持されているか否かなどの他の条件に応じた態様で、パターニングデバイスMAを保持する。サポート構造MTは、機械式、真空式、静電式またはその他のクランプ技術を使って、パターニングデバイスMAを保持することができる。サポート構造MTは、例えば、必要に応じて固定または可動式にすることができるフレームまたはテーブルであってもよい。サポート構造MTは、パターニングデバイスが、例えば、投影システムPSに対して所望の位置にあることを保証することができる。
[0024] 「パターニングデバイス」MAという用語は、基板Wのターゲット部分C内にパターンを作り出すように、放射ビームBの断面にパターンを与えるために使用できるあらゆるデバイスを指していると、広く解釈されるべきである。放射ビームBに付けたパターンは、集積回路などのターゲット部分C内に作り出されるデバイス内の特定機能層に対応してもよい。
[0025] パターニングデバイスMAは、透過型(図1Bのリソグラフィ装置100’のように)であっても、反射型(図1Aのリソグラフィ装置100のように)であってもよい。パターニングデバイスMAの例としては、レチクル、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは公知であり、バイナリ、レベンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスク型、ならびに種々のハイブリッドマスク型を含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配列が用いられており、各小型ミラーは、入射する放射ビームを様々な方向に反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームBにパターンを付ける。
[0026] 「投影システム」PSという用語は、使われている露光放射にとって、あるいは液浸液の使用または真空の使用といった他の要因にとって適切な、屈折型、反射型、反射屈折型、磁気型、電磁型、および静電型光学系、またはそれらのあらゆる組合せを含むあらゆる型の投影システムを包含することができる。EUVまたは電子ビーム放射に対しては真空環境が使用されてもよい。というのは、他のガスは放射または電子を吸収しすぎてしまう場合があるからである。したがって、真空環境は、真空壁および真空ポンプを用いてビームパス全体に提供され得る。
[0027] リソグラフィ装置100および/またはリソグラフィ装置100’は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のマスクテーブル)WTを有する型のものであってもよい。そのような「マルチステージ」機械においては、追加の基板テーブルWTは並行して使うことができ、または予備工程を1つ以上のテーブル上で実行しつつ、別の1つ以上の基板テーブルWTを露光用に使うこともできる。
[0028] 図1Aおよび図1Bを参照すると、イルミネータILは、放射源SOから放射ビームを受ける。例えば、放射源SOがエキシマレーザである場合、放射源SOとリソグラフィ装置100および100’は、別個の構成要素であってもよい。そのような場合には、放射源SOは、リソグラフィ装置100または100’の一部を形成しているとはみなされず、また放射ビームBは、放射源SOからイルミネータILへ、例えば、適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBD(図1B)を使って送られる。その他の場合においては、例えば、放射源SOが水銀ランプである場合、放射源SOは、リソグラフィ装置100および100’の一体部分とすることもできる。放射源SOおよびイルミネータILは、必要ならばビームデリバリシステムBDとともに、放射システムと呼んでもよい。
[0029] イルミネータILは、放射ビームの角強度分布を調節するアジャスタAD(図1B)を含むことができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ-outerおよびσ-innerと呼ばれる)を調節することができる。さらに、イルミネータILは、インテグレータINおよびコンデンサCOといったさまざまな他のコンポーネント(図1B)を含むことができる。イルミネータILを使って放射ビームBを調整すれば、放射ビームの断面に所望の均一性および強度分布をもたせることができる。
[0030] 図1Aを参照すると、放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されているパターニングデバイス(例えば、マスク)MA上に入射して、パターニングデバイスMAによってパターン形成される。リソグラフィ装置100では、パターニングデバイス(例えば、マスク)MAから放射ビームBが反射される。パターニングデバイス(例えば、マスク)MAから反射した後、放射ビームBは投影システムPSを通過し、投影システムPSは、基板Wのターゲット部分C上に放射ビームBの焦点をあわせる。第2ポジショナPWおよび位置センサIF2(例えば、干渉計デバイス、リニアエンコーダ、または静電容量センサ)を使って、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置決めするように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサIF1を使い、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めすることもできる。パターニングデバイス(例えば、マスク)MAおよび基板Wは、マスクアライメントマークM1およびM2と、基板アライメントマークP1およびP2とを使って、位置合わせされてもよい。
[0031] 図1Bを参照すると、放射ビームBは、サポート構造(例えば、マスクテーブルMT)上に保持されているパターニングデバイス(例えば、マスクMA)上に入射して、パターニングデバイスによってパターン形成される。マスクMAを通り抜けた後、放射ビームBは投影システムPSを通過し、投影システムPSは、基板Wのターゲット部分C上に放射ビームの焦点をあわせる。第2ポジショナPWおよび位置センサIF(例えば、干渉計デバイス、リニアエンコーダ、または静電容量センサ)を使って、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置付けるように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサ(図1Bには明示的に示されていない)を使い、例えば、マスクライブラリからマスクを機械的に取り出した後またはスキャン中に、マスクMAを放射ビームBの経路に対して正確に位置決めすることもできる。
[0032] 通常、マスクテーブルMTの移動は、第1ポジショナPMの一部を形成するロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)を使って達成することができる。同様に、基板テーブルWTの移動も、第2ポジショナPWの一部を形成するロングストロークモジュールおよびショートストロークモジュールを使って達成することができる。ステッパの場合は(スキャナとは対照的に)、マスクテーブルMTは、ショートストロークアクチュエータのみに連結されてもよく、または固定されてもよい。マスクMAおよび基板Wは、マスクアライメントマークM1およびM2と、基板アライメントマークP1およびP2とを使って、位置合わせされてもよい。例示では基板アライメントマークが専用ターゲット部分を占めているが、基板アライメントマークをターゲット部分とターゲット部分との間の空間内に置くこともできる(これらは、スクライブラインアライメントマークとして公知である)。同様に、複数のダイがマスクMA上に設けられている場合、マスクアライメントマークは、ダイとダイの間に置かれてもよい。
[0033] リソグラフィ装置100および100’は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
1. ステップモードでは、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームBに付けられたパターン全体を一度に(すなわち、単一静的露光)ターゲット部分C上に投影する。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。
2. スキャンモードでは、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームBに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影システムPSの(縮小)拡大率および像反転特性によって決めることができる。
3. 別のモードでは、プログラマブルパターニングデバイスを保持した状態で、サポート構造(例えば、マスクテーブル)MTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームBに付けられているパターンをターゲット部分C上に投影する。パルス放射源SOが採用されてもよく、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0034] 上述の使用モードの組合せおよび/またはバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0035] 本明細書において、IC製造におけるリソグラフィ装置の使用について具体的な言及がなされているが、本明細書記載のリソグラフィ装置が、集積光学システム、磁気ドメインメモリ用のガイダンスパターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造といった他の用途を有し得ることが理解されるべきである。当業者にとっては当然のことであるが、そのような別の用途においては、本明細書で使用される「ウェーハ」または「ダイ」という用語はすべて、それぞれより一般的な「基板」または「ターゲット部分」という用語と同義であるとみなしてよい。本明細書に記載した基板は、露光の前後を問わず、例えば、トラック(通常、基板にレジスト層を塗布し、かつ露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで処理されてもよい。適用可能な場合には、本明細書中の開示内容を上記のような基板プロセシングツールおよびその他の基板プロセシングツールに適用してもよい。さらに基板は、例えば、多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語は、すでに多重処理層を包含している基板を表すものとしてもよい。
[0036] さらなる実施形態においては、リソグラフィ装置100は、EUVリソグラフィのためのEUV放射ビームを生成するように構成された極端紫外線(EUV)源を含む。一般には、EUV源は放射システム内に構成されており(下記参照)、対応する照明システムはEUV源のEUV放射ビームを調整するように構成されている。
[0037] 本明細書中に記載する実施形態において、「レンズ」および「レンズエレメント」という用語は、文脈によっては、屈折型、反射型、磁気型、電磁型および静電型光コンポーネントを含む様々な種類の光コンポーネントのいずれか1つまたはこれらの組合せを指すことができる。
[0038] さらに、本明細書で使用する「放射」および「ビーム」という用語は、紫外線(UV)(例えば、365、248、193、157、または126nmの波長λを有する)、極端紫外線(EUVまたは軟X線)(例えば、5〜20nmの範囲内、例えば13.5nmの波長を有する)または5nm未満で動作する硬X線、ならびにイオンビームや電子ビームなどの粒子ビームを含めた全てのタイプの電磁放射を包含する。一般に、約780〜3000nm(またはそれ以上)の間の波長を有する放射が、IR放射とみなされる。UVは、約100〜400nmの波長を有する放射を表す。リソグラフィにおいては、UVは、通常、水銀放電ランプによって発生することができる波長:G線436nm、H線405nm、および/またはI線365nmにも適用される。真空UVまたはVUV(すなわち、空気によって吸収されるUV)は、約100〜200nmの波長を有する放射を表す。深UV(DUV)は、通常、126nm〜428nmの範囲の波長を有する放射を表し、一実施形態では、エキシマレーザはリソグラフィ装置内で使用されるDUV放射を生成することができる。例えば5〜20nmの範囲内の波長を有する放射は、少なくとも一部が5〜20nmの範囲内にある特定の波長帯域を有する放射に関係することを当業者は理解されよう。
[0039] 図2は、本発明の一実施形態による電荷結合素子(CCD)200を示す。一例では、CCD200は、並んで構成された一次元コラム210aから210zまでを含む二次元アレイを含む。一次元コラム210a〜210zまでの各々は、複数のエレメント(フォトディテクタ、ディテクタ、感光性エレメント、ピクチャエレメントまたはピクセルとしても知られている)を含み、各エレメントは、関連している一次元コラムの長手方向において近隣または隣接するピクセルに結合されている。一例では、CCD200は、極端紫外線周波数までの幅広い周波数範囲を網羅する周波数感度を有し、EUV検査システムでの使用を可能とする。これについて、以下にさらなる詳細を説明する。
[0040] 動作中、放射ビームから発散する光子(例えば、イメージ)は、CCD200におけるピクセルに入射する。これらの光子の受けたとき、各ピクセルはそのピクセルが受けた光子の数に応じて電子を生成する(例えば、アナログデータ)。受けた光子の数は、ビーム(またはイメージセグメント)の強度およびピクセルがそのイメージセグメントに露光される期間に直接関連する。したがって、各ピクセルの電子電荷出力は、そのピクセルに入射した光子の数を表す。
[0041] この例では、生成された電子電荷は次いで、CCD200の回路アーキテクチャに従って移動される。この例では、電荷移動は、コラムに沿って1つのピクセルから近隣のピクセルへと相互接続を介して進む。電子電荷がそのコラム内の最終ピクセルに到達するまで電子電荷がピクセルから隣接するピクセルへと移動する間、各ピクセルからの電子電荷は蓄積される。一例では、ピクセル間移動のタイミングは、典型的には、外部の制御回路(図示せず)によって制御される。そして、各コラム内の最終ピクセルは、生成された全ての蓄積された電子電荷をそれぞれのCCD出力端子220に対して利用可能にする。一例では、複数のCCD出力端子220aおよび220bは、いくつかの出力コラムを単一の端子にまとめるために使用されてもよい。しかしながら、そのようなものは相互接続アーキテクチャの一例であり、それに限定されないことを当業者は理解されよう。端子220からの出力はアナログであり、受けた光子を表すアナログデータを含む。
[0042] 一例では、時間遅延積分(TDI)は、各ピクセルによって生成されたアナログデータを処理するために用いられる。上述したように、イメージセグメントはCCD200の一次元コラムの方向でスキャンされる。TDIでは、スキャニングは、コラムの長手方向における1つのピクセルからその隣接するピクセルへの電子電荷移動と時間同期される。したがって、コラムの長手方向における隣接するピクセルの各々は、同じイメージエレメントによって続いて照明される。隣接するピクセル間のアーキテクチャ結合により、現在照明されているピクセルはその近隣のピクセルによって生成された電子電荷を受け、次いでそのものの生成された電子電荷を寄与する。現行のピクセルおよび近隣のピクセルの両方は、同じイメージセグメントによって順に照明される。スキャニングに時間同期される態様で蓄積された電子電荷を移動することによって、イメージセグメントがピクセルの一次元アレイ全体を連続的に照明するにつれて所定のコラム内の各ピクセルからの電荷は「さらに積分される」(または蓄積される)。
[0043] 一例では、TDIは、イメージセグメントが極めて低い照明レベルでCCD200によって検出および正確に測定されることを可能とする。例えば、TDIを用いて一ピクセル毎に一(1)光子より少ない光子量を測定することができる。さらに、一例では、隣接するピクセルに渡って同じイメージセグメントを繰り返し照明することは同じイメージセグメントの強化という結果になる一方、無相関ノイズ(例えば、ショットノイズ)はゼロに向かって累積的に平均化する。したがって、TDIは増加したイメージ対ノイズ比、結果的には、低下したイメージレベルの検出および測定という結果となり得る。一例では、CCD200の一次元アレイ毎のピクセルの数が多いほど、結果として生ずるノイズレベルは低く、イメージ対ノイズ比は大きく、かつ感度レベルは高い。したがって、CCD200およびTDI処理を用いることによって、EUV波長を正確に検出することができる。
[0044] 上記したように、TDIでは、CCD200内の累積された電子電荷は1つのピクセルからその隣接するピクセルまで連続的にクロック(clock)される。一例では、そのような連続的クロッキングは、1クロックステップ毎に1ピクセルの速度で発生する。様々な他の実施形態では、クロッキング周波数は1MHzより大きくてもよく、1MHzは1μsステップ毎に1ピクセルの速度と解釈する。
[0045] 一例では、検査スループットは、CCD200のサイズを拡大し、または1つより多いCCD200を使用することによって増やすことができる。例えば、CCD200は約125nm×125nmのように大きくてもよいが、CCD200は約25nm×25nmであってもよい。
[0046] CCD200の解像度はピクセルサイズによって制御されている。例えば、ピクセルサイズは約1μm×1μmであってもよい。しかしながら、ピクセルサイズは約10μm×10μmのように大きくてもよく、または約0.1μm×0.1μmのように小さくてもよい。CCD200の実際のセンサエリアは、多くの場合、アレイサイズより小さいことに留意されたい。例えば、実際のセンサエリアはアレイサイズの約80%である。
[0047] 本発明の様々な実施形態では、CCD200を、その正面からEUV放射によって照明することができるように製作されてもよい。しかしながら、高エネルギー光子は吸収される傾向があるため、正面照明は、EUV放射のような高周波数放射を用いた場合に難題をもたらす。本発明の他の実施形態では、CCD200は、基板の裏面からEUV照明を支持するように製作されてもよい。
[0048] 本発明の範囲はCCDベースのピクセルに限定されないことを当業者は理解されよう。むしろ、マスク検査で必要とされる解像度を支持するための適切なサイズ、および、検査中のマスクに投影される照明の周波数に対応する感光性エレメントの他の適用可能アレイを用いることもできる。
[0049] 図3は、本発明の一実施形態による検査システム300(例えば、EUVマスク検査システム)を示す。検査システム300は、任意の光学システム340(例えば、EUV波長光学システム)、ディテクタ360(例えば、CCD200)および任意の変換器370(例えば、アナログ−デジタル変換器またはADC)を含む。説明の大部分はディテクタ360からのアナログデータをデジタルデータに変換するという観点から考察されているが、必ずしも常にこのようである必要もなく、本発明はこの一実施形態に限定されない。
[0050] 一例では、物体310(例えば、EUVマスク)がEUV放射(すなわち、50nmより短い、例えば、約11.2nm、13.4nm等の波長、および、1〜10nmのような従来のEUV波長を超える波長を含む放射)によって照明される一方、矢印の方向においてスキャンされる。例えば、EUV放射は検査照明源(図示せず)からであってもよく、その一方、他の例では、照明はメインリソグラフィシステム照明源からであってもよい。入射EUV放射は、検査放射330を生成するためにEUVマスク310内の検査ゾーンまたはエリア320を照明する。検査放射330は、ディテクタ360上に光学システム340を用いることによって誘導される。一例では、光学システム340は、ディテクタ360のアクティブエリア350のサイズに対応するようにサイズ決定される。
[0051] この例では、ADC370は、ディテクタ360からアナログデータを受信する。ADC370は、受信したアナログデータからデジタルデータを生成する。デジタル信号は、リソグラフィシステムの他の態様(aspect)に供給され、かつマスク内で発見されるあらゆる欠陥または異常を調整または補償するために用いられてもよい。例えば、照明システムまたは投影システム内のエレメントは、より正確で最適なデバイスが基板上に形成されるようにマスク内で発見されるあらゆる異常に対して調整することができる。
[0052] 様々な例では、デジタルデータは、EUVマスク310の特徴に基づいてシステムの態様(aspect)を制御するために、検査システム300を利用するリソグラフィシステムの他の部分、例えば、図1Aおよび図1Bに示されるシステムのいずれかへと(1つまたは複数の)接続を介して移ることができる。例えば、接続は、各データチャネルのための個別の接続、マルチチャネルデータ接続、ならびに、幅広い種類の媒体を含むがハードワイヤードバス、光ファイバおよび同軸ケーブルに限定されない媒体を用いる接続である。マルチチャネルデータ接続性に適したあらゆる形の接続が本発明の範囲に入ることを当業者は理解されよう。限定ではなく一例として、1GB/sを超えるデータ出力速度は、EUVマスク検査システム300から出力されてもよい。
[0053] 一例では、EUVマスク310は40nmピクセル解像度を用いて15分より短い時間で完全に検査することができる。
[0054] 上記したように、EUVマスク内で発見される欠陥を判定し、または異常を補償(例えば、光近接効果補正)するために出力データを使用することができる。一例では、EUVマスク上の2つの名目上の同一フィーチャから生じる出力データを比較することができる。典型的には、第1同一フィーチャからの出力データはメモリに格納される。次いでデータは、続いて取得される第2同一フィーチャからの出力データと比較される。2つの出力データの比較は、EUVマスク内の欠陥または異常の存在を判定するために用いられる。あるいは、比較は、2つの異なるマスクに存ずる名目上の同一フィーチャから生じるデータ比較に対してのイントラマスクデータ比較によって行われてもよい。
[0055] 別の例では、EUVマスクの検査からの出力データは、出力データが測定された特定の検査エリアに関連する配置座標とタグ付けされる。したがって、単一のEUVマスク上の異なる配置における2つ以上の名目上の同一フィーチャからの出力データは、特定のEUVマスク内の欠陥または異常の存在を判定するために比較されてもよい。
[0056] さらなる例では、EUVマスクから生じることが予測されるパターン形成されたビームの所望の特性を決定するためにシミュレーションが行われてもよい。そのようなシミュレーションデータは、EUVマスクからのスキャンデータとの後ほどの比較のためにデータベースに格納される。既に述べたように、格納されたシミュレーションデータと出力データとの比較は、EUVマスク内の欠陥または異常の存在を判定するために用いられる。
[0057] 本発明のさらなる実施形態では、追加の検査スループットは、互いに接続されている複数のディテクタ360の使用を介して達成することができる。そのようなシステムを並列に連結することによって、複数のスキャンの使用に対し、ピクセルの追加のコラムを単一のスキャン中に検査されているEUVマスクにさらす(expose)ことができる。
[0058] この例では、物体310(例えば、EUVマスク)は矢印の方向にスキャンされる。「スキャンされる」および「スキャニング」という用語の使用は、矢印によって示される方向における物体310とディテクタ360との間の相対運動のあらゆる形態を含むことを意味する。相対運動とは、物体310は動いているがディタクタ360は静止している場合、物体310は静止しているがディタクタ360は動いている場合、および、物体310とディテクタ360との両方が動いている場合を含む。そのような相対運動を実行するために用いることができる様々な駆動システムがあることを当業者は理解されよう。ディテクタ360が動作中である実施形態では、検査放射はディタクタ360と同期して動く。本発明の一実施形態では、アクチュエータ(図示せず)は、入射EUV照明、物体310(例えば、EUVマスク)およびディテクタ360のうちの少なくとも1つに結合されている。
[0059] 検査システム300は、他の物体、すなわち、物体がEUV波長の光を用いて検査されるEUVマスクとは別の物体を検査するために使用されてもよいことを理解されたい。EUVマスクは、単に本発明の本実施形態で検査される例示的物体であるに過ぎない。
[0060] 最後に、別の実施形態では、ディテクタ360による物体310(例えば、EUVマスク)の検査は静的モード、すなわち、物体310(例えば、EUVマスク)とディテクタ360との間に相対運動がないモードで行われてもよい。静的モードでは、スキャニングは採用されず、したがってTDIは使用されない。このシナリオでは、静的イメージはディテクタ360によって補足され、ディテクタ360から出力が利用可能になり、次いでそのような出力は任意の変換器370によって続いて処理される。
[0061] 図4は、本発明の一実施形態による例示的方法400のフローチャートである。例えば、方法400は、ディタクタ(例えば、CCDアレイ)を用いて物体(例えば、EUVマスク)を検査するために用いられてよい。例えば、方法400は、図1A、図1B、図2および図3で上述された1つ以上のシステムを用いて行われてもよい。
[0062] プロセスはステップ410で始まる。ステップ410では、EUV放射ビームを受ける。EUV放射ビームは、例えば、図1Aおよび図1Bに示されるように放射源SOによって、あるいは、リソグラフィシステムの放射源から独立した検査システムにおける専用のEUV放射源によって提供することができる。
[0063] ステップ420では、EUV放射ビームは、スキャンEUVマスクと相互作用し、検査EUV放射ビームを生成する。
[0064] ステップ430では、検査EUV放射ビームはディテクタ上に誘導され、出力を生成する。一例では、出力は、スキャンEUVマスクと同期される時間遅延積分アプローチを用いて生成される。例えば、出力はアナログデータであってもよい。
[0065] ステップ440では、マスク欠陥のためのさらなる分析および/またはリソグラフィシステムのさらなる制御のために、アナログ−デジタル変換の後、任意的に、EUVディテクタからの出力は送信される。
[0066] ステップ450にて方法400は終了する。
結論
[0067] 発明の概要および要約の項目は、発明者が想定するような本発明の1つまたは複数の例示的実施形態について述べることができるが、全部の例示的実施形態を述べることはできず、したがって、本発明および添付の請求の範囲をいかなる意味でも制限しないものとする。
[0068] 本発明は、特定の機能の実施を例示する機能的構成要素およびその関係を用いて上記に記載してきた。この機能的基礎的要素の境界は、説明の便宜性のために本明細書中に任意に画定されている。特定の機能およびその関係が適切に行われる限り、代替的な境界を画定することができる。
[0069] 特定の実施形態の前述の説明は、本発明の全体的性質を十分に明らかにしているので、当技術分野の知識を適用することにより、過度の実験をせず、本発明の全体的な概念から逸脱することなく、このような特定の実施形態を容易に変更および/またはこれを様々な用途に適応させることができる。したがって、このような適応および変更は、本明細書に提示された教示および案内に基づき、開示された実施形態の同等物の意味及び範囲に入るものとする。本明細書の表現または用語は説明のためのもので、制限するものではなく、したがって本明細書の用語または表現は、当業者には教示および案内の観点から解釈されるべきことを理解されたい。
[0070] 本発明の幅および範囲は、上述した例示的実施形態のいずれによっても制限されず、特許請求の範囲およびその同等物によってのみ規定されるものである。

Claims (15)

  1. 受けた光エネルギーに対応するアナログデータを生成するセンサのアレイと、
    EUVパターニングデバイスの検査エリアからの極端紫外(EUV)光を前記センサのアレイに誘導する光学システムとを含み、
    前記アナログデータは前記EUVマスク上で発見される欠陥を判定し、または異常を補償するために使用される、システム。
  2. 前記センサのアレイは電荷結合素子(CCD)のアレイを含む、請求項1に記載のシステム。
  3. 前記CCDアレイは二次元CCDアレイを含む、請求項2に記載のシステム。
  4. 前記センサのアレイは、前記センサのアレイにおける各センサによって生成される前記アナログデータを処理するために時間遅延積分を使用する、請求項1に記載のシステム。
  5. 前記EUV光は、約50nm未満の波長を有する光を含む、請求項1に記載のシステム。
  6. 前記EUV光は、約13.4nmの波長を有する光を含む、請求項1に記載のシステム。
  7. 前記EUV光は、約11.2nmの波長を有する光を含む、請求項1に記載のシステム。
  8. 前記センサのアレイは、前記EUV光が前記センサのアレイの一センサにつき約1光子と同等またはそれより少ない場合に前記アナログデータを生成する、請求項1に記載のシステム。
  9. 前記センサのアレイは、前記EUVパターニングデバイスの前記検査エリアのサイズに対応するようにサイズ決定され、それによって、検査スループットは前記検査エリアからの全ての照明を実質的に同時に受け取ることに基づいて増加する、請求項1に記載のシステム。
  10. 前記EUVマスクが別のEUV放射ビームをパターン形成するときに前記EUVマスクを支持するサポートデバイスと、
    前記パターン形成されたEUVビームを基板のターゲット部分上に投影する投影システムと
    をさらに含む、請求項1に記載のシステム。
  11. アナログ−デジタル変換器と、
    リソグラフィシステムの一部を制御するコントローラとを含み、
    前記リソグラフィシステムはデジタルデータに基づいて基板をパターン形成するために前記EUVパターニングデバイスを使用する、請求項1に記載のシステム。
  12. 縦軸を有する一次元アレイ状に構成されかつ基板上に形成された複数の感光性エレメントであって、感光性エレメントの各々はスキャンEUVマスクによってパターン形成されたEUV放射ビームによる照明に応答して電荷を生成し、感光性エレメントの各々は隣接する感光性エレメントに電気的結合されており、それによって各感光性エレメントにおける累積された電荷は、前記スキャンEUVマスクに同期して前記隣接する感光性エレメントへ切り替え可能に移動できる、複数の感光性エレメントと、
    前記一次元アレイの端に配置された前記感光性エレメントのうちの1つに結合されているアナログ−デジタル変換器(ADC)と
    を含む、極端紫外線(EUV)マスク検査システム。
  13. 前記複数の感光性エレメントは、前記基板の裏面および前記基板の前面のうちの1つから照明されている、請求項12に記載のEUVマスク検査システム。
  14. 前記EUVマスクおよび前記複数の感光性エレメントのうちの少なくとも1つに結合されているアクチュエータをさらに含む、請求項12に記載のEUVマスク検査システム。
  15. EUV放射ビームをスキャンEUVマスクに投影し、パターン形成されたEUV放射ビームにすること、
    前記パターン形成された放射ビームをEUVディテクタアレイに投影することであって、前記EUVディタクタアレイは、縦軸を有する一次元アレイ状に構成されかつ基板上に形成された複数の感光性エレメントであって、感光性エレメントの各々はパターン形成されたEUV放射ビームによる照明に応答して電荷を生成し、感光性エレメントの各々は隣接する感光性エレメントに電気的に結合されており、それによって各感光性エレメントにおける累積された電荷は、前記スキャンEUVマスクに同期して前記隣接する感光性エレメントへ切り替え可能に移動できる、複数の感光性エレメントと、前記一次元アレイの端に配置された前記感光性エレメントのうちの1つに結合されているアナログ−デジタル変換器(ADC)とを含む、該投影すること、および
    前記ADCから出力信号を出力すること
    を含む、極端紫外線(EUV)マスク検査方法。
JP2009285854A 2008-12-31 2009-12-17 Euvマスク検査 Active JP5399226B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14211408P 2008-12-31 2008-12-31
US61/142,114 2008-12-31
US14911909P 2009-02-02 2009-02-02
US61/149,119 2009-02-02

Publications (2)

Publication Number Publication Date
JP2010157717A true JP2010157717A (ja) 2010-07-15
JP5399226B2 JP5399226B2 (ja) 2014-01-29

Family

ID=42284550

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009285854A Active JP5399226B2 (ja) 2008-12-31 2009-12-17 Euvマスク検査

Country Status (3)

Country Link
US (1) US20100165310A1 (ja)
JP (1) JP5399226B2 (ja)
NL (1) NL2003658A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016511440A (ja) * 2013-03-14 2016-04-14 カール・ツァイス・エスエムティー・ゲーエムベーハー マスク検査システムのための照明光学ユニット及びそのような照明光学ユニットを有するマスク検査システム
CN109491202A (zh) * 2017-09-11 2019-03-19 卡尔蔡司Smt有限责任公司 检查光刻掩模的方法和进行该方法的掩模度量设备

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2003678A (en) * 2008-12-17 2010-06-21 Asml Holding Nv Euv mask inspection system.
WO2012096847A2 (en) 2011-01-11 2012-07-19 Kla-Tencor Corporation Apparatus for euv imaging and methods of using same
JP6215009B2 (ja) * 2013-11-15 2017-10-18 株式会社東芝 撮像装置及び撮像方法
NL2017171A (en) * 2015-07-30 2017-02-02 Asml Netherlands Bv Inspection apparatus, inspection method and manufacturing method
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
JP6440152B1 (ja) * 2018-03-08 2018-12-19 レーザーテック株式会社 検査装置及び検査方法
US11499924B2 (en) 2019-06-03 2022-11-15 KLA Corp. Determining one or more characteristics of light in an optical system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003090717A (ja) * 2001-09-18 2003-03-28 Toshiba Corp 欠陥検査装置および欠陥検査方法
JP2004151622A (ja) * 2002-11-01 2004-05-27 Sony Corp マスク欠陥検査装置及びマスク欠陥検査方法
JP2005322754A (ja) * 2004-05-07 2005-11-17 Canon Inc 反射型マスクの検査方法
JP2006080437A (ja) * 2004-09-13 2006-03-23 Intel Corp マスク・ブランクス検査方法及びマスク・ブランク検査ツール
JP2008268424A (ja) * 2007-04-18 2008-11-06 Advanced Mask Inspection Technology Kk レチクル欠陥検査装置およびレチクル欠陥検査方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4633504A (en) * 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4822748A (en) * 1984-08-20 1989-04-18 California Institute Of Technology Photosensor with enhanced quantum efficiency
US4679215A (en) * 1985-12-06 1987-07-07 Sperry Corporation Exceedance counting integrating photo-diode array
US4805123B1 (en) * 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
JPH03221848A (ja) * 1990-01-26 1991-09-30 Canon Inc 異物検査装置
US5298365A (en) * 1990-03-20 1994-03-29 Hitachi, Ltd. Process for fabricating semiconductor integrated circuit device, and exposing system and mask inspecting method to be used in the process
JP2856846B2 (ja) * 1990-05-31 1999-02-10 株式会社東芝 パターン欠陥検査方法とその装置
US5581324A (en) * 1993-06-10 1996-12-03 Nikon Corporation Thermal distortion compensated projection exposure method and apparatus for manufacturing semiconductors
JP3376082B2 (ja) * 1994-03-31 2003-02-10 株式会社東芝 パターン欠陥検査装置
US5818576A (en) * 1995-11-28 1998-10-06 Hitachi Electronics Engineering Co., Ltd. Extraneous substance inspection apparatus for patterned wafer
US6549271B2 (en) * 1997-01-28 2003-04-15 Nikon Corporation Exposure apparatus and method
US6608676B1 (en) * 1997-08-01 2003-08-19 Kla-Tencor Corporation System for detecting anomalies and/or features of a surface
US7028899B2 (en) * 1999-06-07 2006-04-18 Metrologic Instruments, Inc. Method of speckle-noise pattern reduction and apparatus therefore based on reducing the temporal-coherence of the planar laser illumination beam before it illuminates the target object by applying temporal phase modulation techniques during the transmission of the plib towards the target
US6268093B1 (en) * 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
US6686591B2 (en) * 2000-09-18 2004-02-03 Holon Co., Ltd Apparatus for inspecting mask
JP2002107309A (ja) * 2000-09-28 2002-04-10 Toshiba Corp 欠陥検査装置及び欠陥検査方法
JP2002156741A (ja) * 2000-11-16 2002-05-31 Nec Corp マスクのデバイスパターンの補正方法
US7072502B2 (en) * 2001-06-07 2006-07-04 Applied Materials, Inc. Alternating phase-shift mask inspection method and apparatus
US6963395B2 (en) * 2001-07-09 2005-11-08 The Regents Of The University Of California Method and apparatus for inspecting an EUV mask blank
US6900888B2 (en) * 2001-09-13 2005-05-31 Hitachi High-Technologies Corporation Method and apparatus for inspecting a pattern formed on a substrate
JP3799275B2 (ja) * 2002-01-08 2006-07-19 キヤノン株式会社 走査露光装置及びその製造方法並びにデバイス製造方法
JP3677254B2 (ja) * 2002-03-27 2005-07-27 株式会社東芝 欠陥検査装置
US6738135B1 (en) * 2002-05-20 2004-05-18 James H. Underwood System for inspecting EUV lithography masks
US7027143B1 (en) * 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7525659B2 (en) * 2003-01-15 2009-04-28 Negevtech Ltd. System for detection of water defects
US7041998B2 (en) * 2003-03-24 2006-05-09 Photon Dynamics, Inc. Method and apparatus for high-throughput inspection of large flat patterned media using dynamically programmable optical spatial filtering
US20040225488A1 (en) * 2003-05-05 2004-11-11 Wen-Chuan Wang System and method for examining mask pattern fidelity
US7179568B2 (en) * 2003-07-10 2007-02-20 Wisconsin Alumni Research Foundation Defect inspection of extreme ultraviolet lithography masks and the like
JP2005085991A (ja) * 2003-09-09 2005-03-31 Canon Inc 露光装置及び該装置を用いたデバイス製造方法
JP2005150527A (ja) * 2003-11-18 2005-06-09 Canon Inc 保持装置、それを用いた露光装置およびデバイス製造方法
TWI396225B (zh) * 2004-07-23 2013-05-11 尼康股份有限公司 成像面測量方法、曝光方法、元件製造方法以及曝光裝置
US7732743B1 (en) * 2005-06-03 2010-06-08 Michael Paul Buchin Low-photon-flux image acquisition and processing tool
US7522263B2 (en) * 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
NL2003678A (en) * 2008-12-17 2010-06-21 Asml Holding Nv Euv mask inspection system.

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003090717A (ja) * 2001-09-18 2003-03-28 Toshiba Corp 欠陥検査装置および欠陥検査方法
JP2004151622A (ja) * 2002-11-01 2004-05-27 Sony Corp マスク欠陥検査装置及びマスク欠陥検査方法
JP2005322754A (ja) * 2004-05-07 2005-11-17 Canon Inc 反射型マスクの検査方法
JP2006080437A (ja) * 2004-09-13 2006-03-23 Intel Corp マスク・ブランクス検査方法及びマスク・ブランク検査ツール
JP2008268424A (ja) * 2007-04-18 2008-11-06 Advanced Mask Inspection Technology Kk レチクル欠陥検査装置およびレチクル欠陥検査方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016511440A (ja) * 2013-03-14 2016-04-14 カール・ツァイス・エスエムティー・ゲーエムベーハー マスク検査システムのための照明光学ユニット及びそのような照明光学ユニットを有するマスク検査システム
US10042248B2 (en) 2013-03-14 2018-08-07 Carl Zeiss Smt Gmbh Illumination optical unit for a mask inspection system and mask inspection system with such an illumination optical unit
CN109491202A (zh) * 2017-09-11 2019-03-19 卡尔蔡司Smt有限责任公司 检查光刻掩模的方法和进行该方法的掩模度量设备
JP2019049715A (ja) * 2017-09-11 2019-03-28 カール・ツァイス・エスエムティー・ゲーエムベーハー フォトリソグラフィマスクを検査する方法及び方法を実行するためのマスク計測装置
JP7306805B2 (ja) 2017-09-11 2023-07-11 カール・ツァイス・エスエムティー・ゲーエムベーハー フォトリソグラフィマスクを検査する方法及び方法を実行するためのマスク計測装置
CN109491202B (zh) * 2017-09-11 2023-09-26 卡尔蔡司Smt有限责任公司 检查光刻掩模的方法和进行该方法的掩模度量设备

Also Published As

Publication number Publication date
NL2003658A (en) 2010-07-01
JP5399226B2 (ja) 2014-01-29
US20100165310A1 (en) 2010-07-01

Similar Documents

Publication Publication Date Title
JP5399226B2 (ja) Euvマスク検査
KR101357081B1 (ko) 오버레이 측정 장치, 리소그래피 장치, 및 이러한 오버레이 측정 장치를 이용하는 디바이스 제조 방법
JP6009614B2 (ja) 時間差レチクル検査
KR101155067B1 (ko) 검출 디바이스 상의 대상물로부터 방사선을 이미징하는 방법 및 대상물을 검사하는 검사 디바이스
KR20060043037A (ko) 화상 입력 장치 및 검사 장치
US7349524B2 (en) X-ray generator and exposure apparatus
JP2010140027A (ja) レチクル検査システム及び方法
US10146134B2 (en) Illumination system
JP2017129629A (ja) パターン検査装置
JP5112385B2 (ja) 任意パターンを有するパターニングデバイス上のパーティクル検出
JP6353913B2 (ja) コンパクトな両側レチクル検査システム
US20230350308A1 (en) Double-scanning opto-mechanical configurations to improve throughput of particle inspection systems
JP6873271B2 (ja) アライメントシステムにおける2次元アライメントのためのアライメントマーク
JP2008016840A (ja) 角度分解スペクトロスコピリソグラフィを特徴付けるための装置およびデバイス製造方法
US20220011679A1 (en) Measurement tool and method for lithography masks
JP7362934B2 (ja) スキャトロメータベースの粒子検査システムの改善されたアライメント
JP2003303750A (ja) 結像光学系、該光学系を有する露光装置、収差低減方法
US10915033B2 (en) Lithographic apparatus and device manufacturing method
US20100178612A1 (en) Lithographic apparatus and device manufacturing method
WO2024132443A1 (en) Method and device for mask inspection
JP2023058264A (ja) 瞳観察方法、撮像方法、および撮像装置
JP2001297959A (ja) 照明装置及び露光装置
JP2002031885A (ja) マスク、露光装置の検査方法、並びに露光方法
JP2002299216A (ja) 検出方法及び露光方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130131

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131021

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131023

R150 Certificate of patent or registration of utility model

Ref document number: 5399226

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250