JP2009283934A - MuGFETのESD保護デバイスの設計手法 - Google Patents

MuGFETのESD保護デバイスの設計手法 Download PDF

Info

Publication number
JP2009283934A
JP2009283934A JP2009114267A JP2009114267A JP2009283934A JP 2009283934 A JP2009283934 A JP 2009283934A JP 2009114267 A JP2009114267 A JP 2009114267A JP 2009114267 A JP2009114267 A JP 2009114267A JP 2009283934 A JP2009283934 A JP 2009283934A
Authority
JP
Japan
Prior art keywords
fin
esd
gate
predetermined
fins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009114267A
Other languages
English (en)
Other versions
JP2009283934A5 (ja
Inventor
Steven Thijs
ステフェン・タイス
Dimitri Linten
ディミトリ・リンテン
David Eric Tremouilles
ダヴィッド・エリック・トレムイユ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2009283934A publication Critical patent/JP2009283934A/ja
Publication of JP2009283934A5 publication Critical patent/JP2009283934A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】所定の設計目標に対して最適化することができるMuGFETのESD保護デバイスの製造方法を提供すること。
【解決手段】複数の相互に依存したレイアウトとプロセスパラメータを選択する工程を含み、MuGFETのESD保護デバイスのフィン幅、ゲート長、フィン数を含み、更に、フィン幅、ゲート長、フィン数に依存する少なくとも1つの他のパラメータのサブセットを含む。この方法は、(a)予め決められたESDの制限に合致するように、採りうる値の複数の組み合わせを選択する工程と、(b)予め決められた関係に基づいて、サブセットのために複数の値を決定する工程と、(c)予め決められた設計ターゲットの観点からサブセットの最適値を決定する工程と、(d)最適値に基づいて、フィン幅、ゲート長、およびフィン数の値を決定する工程と、(e)所定の製造プロセスと、工程(d)で決められた値を用いて、製造する工程と、を含む。
【選択図】図9

Description

本発明は、MuGFETのESD保護デバイスの設計および製造に関する。
FinFETトランジスタは、短チャネル効果(Short Channel Effect: SCE)の優れた制御性や標準CMOSプロセスとの互換性ゆえに、45nm以下の技術的小型化について最も広く研究されたマルチゲートアーキテクチャである。Tremouilles らの"Understanding the Optimization of Sub-45nm MuGFET Devices for ESD Applications", ESD 2007, pp 408-415 では、接地ゲートNMOSのFinFETデバイスの様々なESDデバイスパラメータ(故障電流It2、保持電圧V、オン抵抗Ron、リーク電流、および面積消費)が、異なったレイアウトおよびプロセスパラメータの変数として研究されている。レイアウトおよびプロセスパラメータでは、いくつかは製造プロセスにより固定され、他のいくつかは所望のESD性能を見出すためにESD設計技術者により選択される。
レイアウトおよびプロセスパラメータの相互依存の観点から、即ち、1つのパラメータの変更が1又はそれ以上の他のパラメータに必ず影響するという事実から、Tremouilles らは、FinFET技術およびより一般的にMuGFET技術のためのESD保護の設計が非常に複雑であることを見出した。
本発明の目的は、所定の設計目標に対して最適化することができるMuGFETのESD保護デバイスの製造方法を提供することである。
この目的は、第1の請求項の技術的工程を示す方法を用いた発明により達成される。
ここでは、「MuGFET」は、マルチゲート電界効果トランジスタ(multi-gate field-effect transistor)の省略形であり、1つのデバイスに1より多くのゲートを組み込んだMOSFETをいうものとする。マルチゲートは、マルチゲートの表面が電気的に1つのゲートとして働く1つのゲート電極により制御され、または独立したゲート電極により制御されても良い。独立したゲート電極を用いるマルチゲートデバイスの例は、マルチプル・インディペンデント・ゲート電界効果トランジスタまたはMIGFETである。MuGFETの他の例は、ゲートが複数のフィンにより形成されたFinFETである。
本発明は、MuGFETのESD保護デバイスの製造を意図し、このデバイスは所定の一般的なレイアウトを有し、所定の製造方法の手段により製造される。この方法では、複数の相互に依存するレイアウトとプロセスパラメータが決定され、その第1のセットは製造プロセスにより固定され、第2のセットは可変である。第2のセットは、少なくともMuGFETのESD保護デバイスのフィン幅、ゲート長、およびフィン数を含む。第2のセットは、更に、フィン幅、ゲート長、およびフィン数に依存する少なくとも1つの他のパラメータのサブセット、例えば、全体のデバイス面積、最大電圧、電圧オーバーシュート中の最大電圧、リーク電流、容量等を含んでも良い。
本発明は、以下の工程の手段による、複数の相互に依存するパラメータのための最適のセットを決定する解を提供する。第1に、フィン幅、ゲート長、およびフィン数の複数の組み合わせが選択され、デバイスの所望のESD保護性能の観点から決定された予め決められたESDの制限を考慮する。次に、フィン幅、ゲート長、およびフィン数の予め決められた関係に基づいて、サブセットの他のパラメータのために、複数の値が決定される。それらの複数の値の中で、ESDの制限の1つではない、設計ターゲットに合致する観点から最適値が決められる。最後に、フィン幅、ゲート長、およびフィン数の値が、サブセットのパラメータのために、最適値に基づいて決定される。
本発明は、また、最適化されるパラメータが最初に決定される効果を有する手法を利用する。即ち、それらの最適値が決定され、その後に、他のパラメータを決定する時にそれらの最適値が課される。換言すれば、最適化されたパラメータは、他のパラメータに対する制限として扱われる。これは、MuGFETのESD保護デバイスの、相互に依存する全てのプロセスおよびレイアウトパラメータを決定する複雑なプロセスを簡単にできる。
最適化されるパラメータはESD保護のために、又は、例えば保護される回路の通常動作中の所望の挙動の観点から、ESD保護以外のために、最適化されても良い。もちろん、ESD設計技術者は、一般に、保護デバイスが合致しなければならないESDの制限にのみ直面するわけではない。一般には、ESD設計技術者が考慮しなければならず、MuGFET技術の場合に設計プロセスを非常に複雑にする、他のESDに関連しない要求、特性等が存在する。この複雑な設計プロセスは、本発明により非常に容易になる。
本発明の方法を用いると、ESD設計技術は、ESD設計技術者が容易に状況に適用でき、ESD設計技術者が直面した場合に必要な、明らかで一般的な手続を提示される。設計技術者は、設計が最適化される必要があるパラメータのサブセットを単に選択し、最初にその最適値を決定し、続いてパラメータの残りを決定する。更に、もし設計が変更されて新しいパラメータが導入された場合、設計技術者は、関係の中に新しいパラメータを追加することにより、同じ手続を用いることができる。
本発明の手法を用いると、直感的に考えられるような、より良い結果を得ることができる。例えば、面積を最適化する場合、MOSダイオードモードの最も良いデバイスは、リークの要求を満足する最大ゲート長の広いフィンのトランジスタと考えるであろう。しかしながら、本手法を適用すると、最適解は異なり、直感的な解に比較して例えば20%の面積の低減が可能となる。本発明の効果を証明する例が、以下に示される。
本発明の方法の好適な具体例では、予め決められたESD制限と、ありうる他の所望のデバイスの特性を考慮しながら、補完(interpolation)により最適値が決定される。
本発明の方法の具体例では、製造プロセスから独立して決定することができる第2のセットのパラメータは、1またはそれ以上の以下のレイアウトパラメータ:コンタクト面積(Lcont)およびデバイスの折りたたみファクタ、を含むことができる。
本発明の方法の具体例では、以下のレイアウトパラメータ:ランディングパッドとゲートとの距離(LSD)、フィンを覆うゲート(Wext)、およびフィンとフィンの間隔(S)は、使用される製造プロセスに応じて、第1のセット(製造プロセスで決められる)または第2のセット(製造プロセスから独立)のいずれかに属しても良い。
本発明の方法の具体例では、以下のプロセスパラメータ:歪、ウエル注入、選択結晶成長(SEG)、シリサイドブロッキングは、使用される製造プロセスに応じて第1のセット(製造プロセスで決められる)または第2のセット(製造プロセスから独立)のいずれかに属しても良い。
本発明の方法の具体例では、以下のパラメータ:デバイスの総面積、最大電圧、電圧オーバーシュート中の最大電圧、リーク電流、容量は、最適化される少なくとも1つのパラメータのサブセットに属しても良い。
本発明は、以下の記載および添付した図面の手段によりより明確になる。
本発明により最適化可能な、FinFETデバイスの上面レイアウト図を示す。 MOSダイオードモードのN型FinFETの異なるゲート長に対するTLPのIVカーブを示す。実線(細いフィン):デバイスはフィン幅が30nmで400個が平行になったフィンを有する。点線(広いフィン−プレーナ):デバイスは1つの広い40μmの「シングルフィン」を有する。 バイポーラモードのN型FinFETの異なるゲート長に対するTLPのIVカーブを示す。デバイスはフィン幅が30nmで400個が平行になったフィンを有する。実効シリコン幅は12μmである。 バイポーラモードのN型FinFETの異なるゲート長に対するHBMのIVカーブを示す。デバイスはフィン幅が30nmで400個が平行になったフィンを有する。実効シリコン幅は12μmである。 ゲート長およびフィン幅の関数としての、実効シリコン幅あたりのバイポーラモードの標準化された故障電流It2(mA/μm)の等高線を示す。 ゲート長およびフィン幅の関数としての、バイポーラモードの保持電圧V(V)を示す。 ゲート長およびフィン幅の関数としての、実効シリコン幅あたりのバイポーラ動作モードの標準化されたオン電流Ron(Ωμm)を示す。 総チャネル幅N(Wfin+2Hfin)に対して標準化されたリーク電流の対数を示す。短チャネル制御(SCC)の式(1)が、式のばらつきを示すために重ねられている。 使用される所定の例の設計手法の異なる工程を示すブロックダイアグラムを示す。 バイポーラモードで、1kVのHBM、4VのVmax、および優れた短チャネル制御(SCC>1.4)の課された設計制限にあう最適解(点)の上の増加した面積のパーセンテージを示す。 バイポーラモードで、1kVのHBM、3.4VのVmax、および優れた短チャネル制御(SCC>1.4)の課された設計制限にあう最適解(点)の上の増加した面積のパーセンテージを示す。 MOSダイオードモードで、N型FinFETデバイスのゲート長の関数として、標準化された測定されたIt2であり、30nmフィン幅で400の平行なフィンからなる狭いフィンのデバイス、および40μmフィン幅で1つのフィンからなる広いフィンのデバイスについて示す。 MOSダイオードモードで、75nmのゲート長を有するN型FinFETデバイスのゲート幅の関数として、標準化された測定されたIt2を示す。 MOSダイオードモードで、ゲート長およびフィン幅の関数として、実効シリコン幅あたりのバイポーラモードの標準化された故障電流It2(mA/μm)の等高線を示す。 MOSダイオードモードで、1kVのHBM、4VのVmax、および優れた短チャネル制御(SCC>1.4)の課された設計制限にあう最適解(点)の上の増加した面積のパーセンテージを示す。 MOSダイオードモードで、1kVのHBM、4VのVmaxで短チャネル制御の無い(SCC=0.8)課された設計制限にあう最適解(点)の上の増加した面積のパーセンテージを示す。 MOSダイオードモードと比較したゲートダイオードの異なるゲート長に対するTLPのIVカーブを示す。デバイスはフィン幅が30nmで、400の平行なフィンを有する。2つのダイオードタイプの断面が示される。 555nmのゲート長を有するゲートダイオードのフィン幅の関数としての、標準化された測定されたIt2を示す。 フィン幅の関数としての、実効シリコン幅あたりの標準化されたIt2と寄生容量を示す。導かれたFOM(It2/Ctot)は、フィン幅の増加に伴って改良される。符号は、測定データ点を示す。 本発明により最適化可能な、折りたたみ要素(folding factor)が2であるFinFETデバイスの上面レイアウト図を示す。 異なるウエルドーピングレベルの40μm幅フィンN型FinFETデバイスのゲート長の関数としての、1Vにおける標準化されたリーク電流を示す。 異なるウエルドーピングレベルの40μm幅フィンP型FinFETデバイスのゲート長の関数としての、1Vにおける標準化されたリーク電流を示す。 SEGを用いて、または用いずに処理されたウエハのゲート長の関数としての、バイポーラモードのN型FinFETのTLPのIVカーブを示す。デバイスはフィン幅が25nmで、225の平行なフィンを有する。 SEGを用いて、または用いずに処理されたウエハのゲート長の関数としての、バイポーラモードのP型FinFETのTLPのIVカーブを示す。デバイスはフィン幅が25nmで、225の平行なフィンを有する。 tCESLを用いて、または用いないウエハのバイポーラモードのFinFETデバイスのゲート長の関数としての、標準化されたIt2を示す。デバイスはフィン幅が30nmで、400の平行なフィンを有する。 tCESLを用いて、または用いずに処理されたウエハのゲート長の関数としての、バイポーラモードのFinFETデバイスの保持電圧を示す。デバイスはフィン幅が30nmで、400の平行なフィンを有する。 本発明により最適化可能な、シリサイドブロッキングマスクを含むFinFETデバイスの上面レイアウト図を示す。 バイポーラモードでシリサイドブロッキングを有し、および有しない、細いフィンおよび広いフィンのN型FinFETデバイスのTLPのIVカーブを示す。デバイスは355nmのゲート長を有する。 FinFETデバイスの単純化された2DのTCAD水平断面(上部)および垂直(底部)を示す。 30nmのWfinの15mA(1.25mA/μm)TLP電流パルス中の時間の関数としての、最高温度のTCADシミュレーションを示す。バイポーラモードのNMOSデバイスは、75nmLを有する。 30nm、75nm、175nm、および1μmの異なるWfinの1.25mA/μmTLP電流パルス中の時間の関数としての、最高温度のTCADシミュレーションを示す。全てのNMOSデバイスはバイポーラモードで動作し、75nmLを有する。 それぞれのWfin変化に対して測定されたIt2にかかる異なるTLP電流パルス中の、時間の関数としての最高温度のTCADシミュレーションを示す。 75nmLのバイポーラモードでのNMOSデバイスのTCADシミュレーションにより予想された、フィン間隔の関数として、WintrおよびWlayoutに対して標準化されたパーセンテージIt2の改良を示す。 65nm、40nm、および15nmの異なるHfinの0.64mA/μmTLP電流パルス中の時間の関数としての、最高温度のTCADシミュレーションを示す。全てのNMOSデバイスはバイポーラモードで動作し、75nmLを有する。 t2の予想を可能とする、それぞれの異なるHfinの異なるTLP電流パルス中の時間の関数としての、最高温度のTCADシミュレーションを示す。全てのNMOSデバイスはバイポーラモードで動作し、75nmLを有する。 finの関数としての、水平および垂直2D−TCADのIt2シミュレーションの比較を示す。水平TCADに比較してHfinが減少した場合、より多くの現実の垂直TCADはより高いIt2を与えるが、しかしながら、広いフィンのデバイスに対してのみ有効である。 145nmおよび10nmの異なるTBOXに対する0.64mA/μmおよび1.38mA/μmTLP電流パルス中の、時間の関数としての、最高温度のTCADシミュレーションを示す。全てのNMOSデバイスは、バイポーラモードで働き、75nmLを有する。 145nmTBOX(上部)および10nmTBOX(底部)の双方の、0.64mA/μmTLP中の、80nsにおいて得られた温度プロファイルを示す。
本発明は、特定の具体例について、添付図面を参照しながら詳細に説明するが、本発明はこれらにより限定されるものではなく、請求の範囲によってのみ限定されるものである。記載された図面は、単に概略であり、限定するものではない。図面において、図示目的で、いくつかの要素の大きさは拡張され、縮尺通りに記載されていない。寸法と相対寸法は、本発明の実施の実際の縮小には対応していない。
更に、明細書や請求の範囲中の、第1、第2、第3等の用語は、類似の要素の間で区別するために使用され、時間的順序を表す必要はない。用語は、適当な状況下で入替え可能であり、ここに記載された発明の具体例は、ここに記載や図示されたものと異なる順序によっても操作することができる。
また、明細書や請求の範囲中の、上、下、上に、下に等の用語は、記載目的のために使用され、相対的な位置を示すものではない。そのように使用される用語は、適当な状況下で入替え可能であり、ここに記載された発明の具体例は、ここに記載や図示されたものと異なる位置でも操作することができる。
また、請求の範囲で使用される「含む(comprising)」の用語は、それ以降に示される要素に限定して解釈されるべきではなく、他の要素や工程を排除しない。このように、言及された特徴、数字、工程、または成分は、その通りに解釈され、1またはそれ以上の他の特徴、数字、工程、または成分、またはこれらの組み合わせの存在または追加を排除してはならない。このように、「手段AおよびBを含むデバイス」の表現の範囲は、構成要素AとBのみを含むデバイスに限定されるべきではない。本発明では、単にデバイスに関連した構成要素がAとBであることを意味する。
以下において、本発明の方法が、FinFET技術の多くの例により説明される。しかしながら、本発明の方法は、当該技術分野において通常の知識を有する者(当業者)に知られる他のMuGFET技術にも適用される。
以下、設計手法は、レイアウトおよびプロセスパラメータの双方の、FinFETゲートダイオードと寄生バイポーラおよび能動MOS動作モードの双方におけるNMOSのFinFETデバイスとの電気ESDデバイスパラメータへの複雑な依存性を考慮して表される。これにより、多くの課された設計の制限の下、所定のESD目標(面積消費、寄生容量、リーク電流等)に向けての最適化ができる。FinFETのキロボルトHBMレベルが、全てのICレベルESCの要求に合うように表される。
I.イントロダクション
ESD設計技術者に取って大きな問題がある。"International Technology Roadmap of Semiconductor (ITRS) 2007"(参考文献1)によれば、トランジスタの物理的なゲート長は、2016年に9nmの大きさに到達する。リソグラフィおよびエッチング技術がそれらの寸法を提供できても、バルクCMOSはトランジスタの小型化に関連する、多くの短チャネル効果(SCE)を受けることになる。新しいデバイスが生まれはじめ、そこからマルチゲートMOSFETが約束されて性能を示す(参考文献2)。実際に、ITRS2005ロードマップから、平行な経路が最もありそうなシナリオとして予想された。いくつかの会社は、可能な限り、プレーナバルクCMOSを引き延ばした。他は、完全に空乏化するSOIデバイスおよび/またはマルチゲートデバイスに切り替えた。最終的に、究極のMOSFETはマルチゲートデバイスであった。それゆえに、そのESDロバスト性は、それらの進化が急激なESD性の低減につながるため、初期の技術開発段階で考慮されることが好ましい。
参考文献3では、接地ゲートNMOS(Grounded-Gate-NMOS)FinFETデバイスの異なるESDデバイスパラメータ(故障電流It2、保持電圧V、オン抵抗Ron、リーク電流、および面積消費)が、デバイス配置とプロセスパラメータの関数として研究された。N型FinFETでは、標準の接地ゲート構成と、ゲートがドレインに接続されたMOSダイオードモードとが比較された。
幾つかのプロセスの特徴(例えば、歪選択結晶成長(SEG)等)が、技術(参考文献4)により課され、一方、幾つかのプロセスの選択が、ESD保護デバイス(例えば、シリサイドブロッキング、ウエル注入等)のために具体的に選択される。そのようなプロセセスの選択肢をはずれて、ESD設計技術者は、最適のESDレイアウトおよび設計を見出すためのレイアウトパラメータを選択できる。
プレーナに比較してより複雑なFinFETデバイスの配置は、プレーナデバイスに比較して明らかでないような厳密な最適化を行うより高い程度の複雑さが導入されるため、設計手法が必要とされる。
ここでは、一般的な設計手法が、所定のESD保護デバイスのレイアウトとプロセスパラメータを、所定の設計目標(面積消費、寄生容量、リーク電流等)に向けての最適化できると共に、ESDの制限(ESDロバスト性、リーク電流、最大許容で夏、最大許容電圧オーバーシュート等)のセットに合致するように存在する。
II.FinFET技術
図1では、異なるレイアウトパラメータとともに、FinFETデバイスの可能なレイアウトの上面図が示される。FinFETデバイスは、従来のプレーナデバイスより幾何学的な寸法を有する。ゲート長Lに加えて、フィン幅Wfin、フィン高さHfin、S/Dコンタクト領域とゲートとの距離LSD、S/Dコンタクト領域の大きさLcont、2つの隣接するフィンの間の間隔S、フィンへのゲートのオーバーラップWext、およびフィンの数Nも定義される。Wfin、L、LSD、LcontおよびNは、設計者により選択可能である。Hfin、WextおよびSは、この例ではプロセスにより決定される。Lは最小で45nm、Wfinは最小で30nm、Sは170nmに固定され、LSDは最小で100nm、Lcontは下方に存在する全てのデバイスのためのコンタクトの2つの列に対応するように選択される。
145nmの埋め込み酸化膜(BOX)の上に65nmのSi膜厚(Hfin)を有するSOIウエハ上で、デバイスが処理される。ゲートスタックは、金属ゲート(TiN)の上の100nmのポリシリコン、高誘電率(high−k)の2nmのHfSiON層、および1nmの界面酸化物からなる。TiNゲートはバンドギャップの中央に仕事関数を有し、フィンにドーピングすることなく、NMOSとPMOSで対称な閾値電圧(V)を得ることができる。Niのシリサイド化後、0.8GPaの張力コンタクトエッチストップ層(tensile Contact Etch Stop Layer:tCESL)が用いられ、キャリア移動度の改良のために歪を導入する。
III.ESD測定
NMOSダイオードモードのN型FinFETデバイスの、ゲート長を関数としたTLPのIVカーブが図2に示される。バイポーラモードのTLPのIVは、簡素化のために図3のように引用文献3により報告され、ゲート長およびフィン幅の、It2、VおよびRonへの影響はここに記載される。異なる標準化が、参考文献3に導入された。実効シリコン幅は、Nfinで定義され、一方、全レイアウトサイズは、フィンとフィンとの間隔Sを考慮した。TLP測定は、100nmパルス幅と、2nmの立ち上がり時間で行われた。
MOSダイオードモード(図2)とバイポーラモード(図3)の、NMOSのFinFETのIVカーブを比較した場合、異なる傾向が見られる。ダイオードモードでは、Lの増加とともにIt2は単調に減少し、一方、バイポーラモードでは最大値まで増加する。MOSダイオードは、閾値電圧Vに達すると直ぐに、十分な導電となる。狭いフィンデバイスでは、フィンは完全に空乏化され、このためVは単に金属ゲートの仕事関数により定義される。それゆえに、LのVへの影響は見られない(図2の実線)。短いゲート長の、広いフィン(プレーナ)のデバイス(図2の点線)では、SCE制御が無いため、Vロールオフが見られる。MOSダイオードモードでは、Ronは一定ではない。高い電流レベルでの自己加熱のために、IVカーブは直線の挙動から離れ、小さいゲート長では、高い電流レベルにおいてバイポーラ伝導が見られる。
現在まで、比較的小さなデバイスで示されるTLP測定は、数100mAの故障電流レベルを表し、これはICレベルのESD電流から遠かった。それゆえに、アンペアレンジで小型化のチェックが必要であり、TLPとHBMの間の相互関係を研究する必要があった。図4は、TLPを用いて測定されたものと同じ組のデバイスの、観測されたHBMのIVカーブを示す。HBMは、10Vの電圧分解能を用いて、参考文献6に従って行われた。HBMを用いた場合、TLPを用いた場合と同じ傾向が見られ、設計手法がHBMの結果の予測のために適用できる。
図1は、異なった平行のブロック中に配置された複数の平行なフィンを含む、バイポーラモードの「大きな」NMOSデバイスでの、HMB測定結果を示す。3.4kVまでのHBMの結果が、最初にFinFETデバイスで得られた。実効シリコン幅で標準化されたHBM故障電圧(VHBM)は、TLPのIt2測定で得られたのと同様に、Wfinの増加とともに減少する。
約1.5kV/Aの相関比が、HBMとTLPとの間で測定された。そのような非常に大きなデバイスにおいても、不均一に関する問題は認められず、それらのFinFETの全体の小型化が示された。

表1:バイポーラモードの「大きな」NMOSのFinFETデバイスについての測定されたHBM結果。
IV.設計手法
このセクションでは、本設計手法を適用する特定の例が示される。方法では、バイポーラまたは能動MOSモードでの、MOSクランプ動作の最小面積を見出すのに用いられる。双方の場合、所定のHBMのESD歪を保持するとともに、所定のリーク性能に合致し、例えばゲート酸化物のブレイクダウン電圧である所定の最大電圧Vmaxを越えないことが必要とされる。バイポーラおよびMOSダイオードモードの電気ESDパラメータの依存性の異なる特性のために、双方のモードは別々のサブセクションで取り扱われる。第3のサブセクションでは、基本的なESD保護デバイスの1つであるため、ゲートダイオードについて議論される。
A.バイポーラモード(第1の具体例)
バイポーラモードの、NMOSデバイスのための異なるESDデバイスパラメータへの、WfinおよびLの影響が、表2にまとめられている。「+」はESDにおって有利な挙動、「−」は不利な影響を示す。2つの異なる標準化が、参考文献3に示されるように、It2に対して用いられる。実効シリコン幅は、フィンとフィンの間隔(図1のオアらメータS)を含まず、総レイアウト幅は含む。

表2:バイポーラモードの接地ゲートNMOSデバイスの、レイアウトパラメータLとFfinの関数としての、異なるデバイスパラメータの概要。「+」はESDにとって有利、「−」は不利を表す。
(LとFfinを変化させて)得られた測定データに基づいて、It2、V、およびRonが、三角形を基礎にした(triangle-based)補完法を用いて、全てのL−Wfin設計空間について計算される。これにより、所定のL−Wfinの点に対する、It2、V、およびRon値が見積もられる。図5は、L−Wfin設計空間で、実効シリコン幅で標準化されたIt2の等高線を示す。大きなWfinにおいて、It2は約2mA/μm周辺で飽和する。
参考文献3に示されるデータに基づく、実効シリコン幅で標準化されたVとRonの等高線が、図6と図7に示される。
所定のESDロバスト特性に合致することが必要とされるフィン数Nの選択から、設計が始まる。標準化されたIt2グラフ(図5)に基づいて、NがそれぞれのL−Wfinの点に対して計算される。
Nを計算するために、リーク特性に合うデバイスの組(特定のWfin−Lの組み合わせ)を選択することから設計は始まる。リークの定量は、次の経験式も基づいて行われる。
ここで、短チャネル制御(SCC)は、L、Wfinおよび等価酸化膜厚(EOT)の関数として計算される。SCC>1.4が安全なデバイスと考えられ、1.4≧SCC≧1では良好な短チャネル制御を有し、一方、SCC<1のデバイスは強いSCEを被る。この式は、所定の長さLとWfinを有するデバイスのリーク挙動を考慮する簡単な方法を提供する。寄生ショットキコンタクトの存在で多くのリーク電流のばらつきが測定されるため、この式が実際の測定データより好まれた(参考文献7)。これらのショットキコンタクトは、ドレインをボディとの接合の過剰シリサイド化(over-silicidation)の結果であり、プロセスが開発中であるために存在する。高すぎる初期リークを有するデバイスは、それゆえに低いESDロバスト性を有し、今後は考慮されない。選択エピタキシャル成長(SEG)を加えることにより、過剰シリサイド化の問題は大幅に低減でき(参考文献8)、結果への影響が拡がらないことが測定された。式(1)の結果は、総チャネル幅N(Wfin+2Hfin)で標準化されたSEGを有するウエハのリーク電流(A/μm)の対数と重ねて、図8に示される。明確な相関関係が観察され、式の有効性が分かる。
第2に、それぞれのL−Wfinポイントに対して、所定のESDロバスト性特性に合うために必要とされるフィン数Nは、標準化されたIt2グラフを用いて計算される(図5)。
第3の工程では、最大ESD電流中のデバイスでの電圧降下(Vdrop)は、次の式を用いて計算される。
ここで、Rtotは、デバイスの全抵抗を示す。Vdropは適用される最大許容電圧Vmaxより低くなければならず、フィン数Nは、必要であればRtotを低減するために増やすことができる。同様に、最大瞬間電圧オーバーシュートに第2の制限を課することで、保護デバイスのトリガー速度は、同様に最適化に含まれてよい。
第4の工程では、全ての必要なデバイスは次のように計算される。
設計空間で最も狭い面積のデバイスは、所定の構造の最適解により選択される。
手法の方法ブロックダイアグラムが、異なった工程が要約されたこの特定の例の図9に示される。
また、ソース領域およびドレイン領域を共有してトランジスタを折りたたむことで、全体の面積の低減が可能となる。そのようなデバイスの例は図20に示され、フィンガ数=折りたたみファクタ=2で、フィンガあたりのフィン数=6で、フィンの総数=62=12である。ESD動作パラメータ、例えばIt2およびRonへの、折りたたみの影響が研究される。It2およびRonは、折りたたまれた構造の金属ワイヤの制約および/または蓄積熱により、影響される。このように、折りたたみファクタは、設計手法に容易に含まれる追加のレイアウトパラメータになる。
例として、設計手法は、ESD容量が1kVHBM、ESD中のVmaxが4V、低リーク電流(即ちSCC>1.4)のGGNMOSの、最小面積解の決定に使用できる。必要とされる面積は、HBMとTLPとの間の相互関係ファクタ1.5kV/Aを考慮したL−Wfin設計空間中のそれぞれの点について、式(3)を用いて計算される。最適解は、最小必要面積を備えた、L−Wfin設計空間中の点である。
図10は、最適解を越える全L−Wfin設計空間に対する面積増加の比率であり、これは点で表され、消費面積296μmに対応して395nmのLと200nmのWfinを有する。最適値からLまたはWfinが離れると、必要な面積が急激に増加することがわかる。リーク電流限界のために小さなLの解は存在せず、高い電圧降下のために大きなLの解は存在しない。
共用される電圧が、例えば4Vから3.4Vに減少した場合、必要なVの低下により、最適解はより低いL(165nm)までシフトする。この結果、図11に示すように、SCCのためにWfin(100nm)は低減されなければならない。この解は、リーク電流限界により制限され、40%、即ち417μmの面積消費の増加となる。
B.MOSダイオードモード(第2の具体例)
ダイオードモードのNMOS(ゲートに接続されたドレイン対ソース)の、LのIt2への影響が、図2と図12に、狭フィンと広いフィンについて示される。NMOSデバイスはアクティブモードで動作するため、総チャネル幅を考慮するために、追加の標準化が追加される。Lの増加に伴って、It2は単調に減少する。広いフィンのデバイスに対して、全ての3つの標準化が、概ね同じ値となる。
フィン幅の関数としてIt2が表された図13に示すように、狭いフィンのデバイスは、広いフィンのデバイスより面積効果が少ない。バイポーラモードと同様に、MOSダイオードモードの狭いフィンのデバイスは、広いフィンのデバイスより良好なフィンの冷却のために、実効シリコン幅あたり、より高いIt2を有する。実効シリコン幅で標準化されたIt2の等高線が、図14に示される。
は、LとWfinの大きき依存し、図2から分かるように、その値は、狭いフィンのデバイスに対して約0.5Vである。これに対して、広いフィンのデバイスでは、Vは短いゲート幅で減少し、これによりそれらのデバイスはSCEの制御性が低く、使用できない。非線形の電流挙動のため(図2)、ダイオードモードのRonの外挿(Ron−extraction)は、バイポーラモードより困難である。存在する手法では、物理的に直線のRonが、VとVt2の間で外挿され、It2の電流レベルにおける正しいVt2値を得る。より複雑な関数は同様に実施できる。表3は、異なる依存性のまとめである。


表3:MOSダイオードモードのNMOSデバイスの、レイアウトパラメータLとFfinの関数としての、異なるデバイスパラメータの概要。「+」はESDにとって有利、「−」は不利を表す。
アクティブのクランプMOSFETを研究するために、図2のTLPのIVカーブは、自己加熱のオンセットの点までに制限される。これは、新しいIt2値および対応する外挿されたRonを生じ、手法において使用される。
それらの結果を用いて、設計手法が、バイポーラモードに関して、同様の方法で、面積の最適化に用いられる。これらの結果から、図12および図13に基づいて、直感的でしかし誤って、MOSデバイスモードの最良のデバイスは、式(1)の要求を満足する最小ゲート長の広いフィンのトランジスタと考えるかも知れない。1kVのHBM、4VのVmax、SCC>1.4の制限を用いて、このデバイスが200nmLGを有し、578μmを用いることが計算できた。しかしながら、手法を適用した場合、最適値は、図15に示すように、Wfinが90nmでLが145nmのN=1582フィンのデバイスに変わった。これは、470μmの面積を用い、最初の解と比較しておおよそ20%低減されている。この20%の低減は、広いフィンのデバイスでSCCのために必要な増加したゲート長(図12)の事実により説明でき、It2は急激に降下し、これにより狭いフィンを有するデバイスは、面積効率が良くなる。このリーク制限(例えばSCC=0.8)が除去された場合、最適解は、最初に予想されたようになる(図16)。
MOSダイオードの結果をバイポーラ動作モードと比較した場合、MOSダイオードは、最適WfinおよびLからのずれに対して敏感でないように思われる。しかしながら、MOSデバイスの全体の動作は、一般的に、バイポーラモードより優れていない。これは、図2に示すような比較的高いRonに貢献し、これはP+ボディコンタクトが無いことで説明される。実際、上で使用された例を考慮した場合、MOSダイオードモードは、同じESDターゲット特性を達成するためには、バイポーラモードより58%の面積増加が必要となる。これは重要な結果であり、即ち、いくつかの場合、バイポーラモードに比較すると、MOSダイオードモードは制限ファクタになる。特に、これは、分離されたリバースゲートダイオードは、バイポーラモードのMOSに関連して好ましいことを意味する。
C.ゲートダイオード(第3の具体例)
の関数としてのゲートダイオードのTLPのIVカーブは、図17に、MOSダイオードモードと比較されている。ゲートダイオードは、改良されたIt2とRonを示すことが明らかで、最も小さいLにおいて最適となる。ゲートダイオードで使用されたゲート長は、ゲートへのN+およびP+ドーピングを収容するために、MOSデバイスより長いことは注意すべきである(図2参照)。
finが増加した場合、MOSダイオードモードと同様に、実効シリコン幅あたりのIt2は減少し、一方で、レイアウトを考慮した場合は増加する(図18)。リーク電流は、ゲートダイオードで考慮されるパラメータでは無いために、最小面積解は、単に、最も小さいゲート長を有する広いフィンのダイオードとなる。ゲートダイオードのESD特性パラメータへの、レイアウトパラメータおよびプロセスパラメータの依存性のこの電流の組を用いると、トレードオフは存在しないように見える。これは、現時点で、設計手法の図9にかかる厳しいフローが、このタイプのデバイスには必要で無いことを意味する。
ゲートダイオードの寄生容量は、高速またはRFで適用する場合に、重要なパラメータである。これらの適用では面積は、より考慮しなくても良く、問題は、広いフィンのデバイスに比較して狭いフィンのデバイスで観察された2〜3倍に改良された内在的なESD性能が、容量を同様に考慮した場合に、改良されたRFのための性能指数(Figure Of Merit: FOM)の結果をもたらすか否かである。考慮されるFOMは、容量あたりのIt2である(mA/fF)。RFのSパラメータ測定が、2つの入手可能なゲートダイオードに対して、RF形態で行われた。第1のゲートダイオードは、20nm幅で80nmのゲート長の400フィンからなり、一方、第2のゲートダイオードは、同じゲート長で80nm幅の単体の広い(プレーナ)フィンのデバイスであった。デバイスは、IMECs300mmfab中で、SEGを用いて処理された。測定された容量は、狭いフィンのデバイスでは11.5mA/fFのFOMとなり、広い(プレーナ)ダイオードでは33.4mA/fFとなり、金属相互接続の脱埋め込み(de-embedding)後に、それぞれ20fFと32.5fFであった。これは、最適化のゴールとして寄生容量を考慮した場合、広いフィン(プレーナ)ゲートダイオードが好ましい選択であることを意味する。ゲートはフローティングになり、アノードまたはカソードと接続された場合に比較してより低容量となった。
これらの結果を理解するために、式(4)が導かれる。
全デバイスの容量Ctotは、2つの部分に分けられる。1つの部分は、アノードからカソードへの接合容量Cであり、接合断面Nfin(Wfin fin)に比例する。全容量のこの部分は、それゆえにダイオードの内在的(intrinsic)なESDロバスト性に直接比例する。第2の部分のCohは、全ゲート幅Nfin(Wfin 2Hfin)に比例する間接容量を表し、フィンとゲートとの間のゲートのオーバーラップやフリンジング容量からなる(参考文献9)。
式(4)は、2つの未知数、即ちCおよびCohからなり、これらは、狭いフィンのデバイスと広いフィンのデバイスの双方の式の組を解くことにより見出される(アペンディックス参照)。狭いフィンのデバイスでは、Cohは、フィンとゲートとの間の大きなフリンジング容量により、全デバイス容量の略97%からなり、一方、「有用な」容量Cはほんの3%である。
実効シリコン幅に対して標準化された、It2と全容量が、導かれたFOM(容量あたりのIt2)とともにフィン幅の関数として図に示される。記号は測定結果を示し、一方、中間のフィン幅に対して寄生容量が式(4)を用いて計算される。狭いフィンのデバイスの大きな総容量は、広いフィンのデバイスに対してはFOMを低下させ、広いフィンのデバイスが好ましい選択肢となる。Cohの略20%は、参考文献10に記載されたように、SEGの使用による。
最小面積消費の代わりに寄生容量を考慮した場合、最適解は広いフィンのダイオードであり、トレードオフは現在存在しない。しかしながら、技術が進化し続けた場合、異なった依存性が変化し、設計手法が必要となる。
アペンディックス
式(A1)は、全容量Ctotへの、接合容量Cと間接容量Cohとの寄与を示す。寄生容量Cnarrowは、狭いフィンのデバイス(Nfin=Nnarrow、Wfin=Wnarrow)について測定された。同様に、寄生容量Cplaは、単体のプレーナフィンデバイス(Nfin=1、Wfin=Wpla)について測定された。CjおよびCplaの解は、狭いフィンのデバイスと広いフィンのデバイスの関数として分析的に導かれ、それぞれ(A2)および(A3)に与えられる。
V.プロセス選択の影響
本発明の上述の具体例では、最適化がレイアウトパラメータに注目する。以下、プロセスパラメータを用いたESD保護のためのマルチゲートFETデバイスの最適化の可能性について検討する。
FinFET技術は、低減された移動度、高いアクセス抵抗、ボディ注入無しのVT調整の要求、可変性、SCE制御のような多くの挑戦についてうまく対処する。異なるプロセス選択は、それらのパラメータの改良のために導入できる。それらのプロセス選択は、また、ESDロバスト性にも影響を与える。それゆえに、この影響を最前線で研究することは重要である。このセクションでは、ウエル注入、SEG、歪、およびシリサイドブロッキングの影響について検討する。
A.ウエル注入
非常に重要なESD設計制限は、ESDクランプデバイスを通る最大許容リーク電流である。先に、レイアウト面積当たりの最良のESD特性を有するための広いフィンのデバイスが示された。しかしながら、最良のESDデバイスは広いフィンを有するデバイスであるというそれらの結果からの結論は、正しくない。これは、SCEを制御するためにはより長いゲートが必要となり、VとRonが増大するからである。ウエル注入は、広いフィンのデバイスのリークを低減するのに使用することができる。
狭いフィンのデバイスに対して、VTは金属ゲートの仕事関数で決定される。なぜなら完全な空乏化は、注入を有するVTの調整を非常に困難にするためである。しかしながら、広いフィンのデバイスに対して、フィンのドーピングは容易にVTを変えることができる。40μm幅のN型およびP型のFinFETデバイスのリーク電流に対する、フィンドーピングの影響を図21および図22にそれぞれ示す。リーク電流は、ドレインバイアスが1Vでソースおよびゲートを接地して得られ、Weffに対して標準化された。図21は、NMOSフィンボディへのホウ素の添加が、所定のゲート長のリーク電流を低減することを示す。この結果のように、そのような広いフィンのデバイスは、直接VとRonを低減する、より小さいLgを用いたリークの要求を満たす。多くの注入の変化は、PMOSデバイスで得られた(図22)。リンのドーズの増加は、リーク電流を低減する。最も高いPドーズの注入エネルギー条件を、20keVから55keVに増加させた場合、リークは再度増加する。これらの条件を用いると、ドーズがフィンの底部近傍に集められ、ドーズの一部が埋め込み酸化物中に消える。
他のESD性能パラメータへの、ウエル注入の大きな影響は、測定されなかった。もし、ウエル注入が得られた場合、それらは、ESD保護デバイスのために使用されることが好ましい。得られない場合は、ESD目的のために特に最適化されたウエル注入の導入を考慮するのが良い。これは、また、シリコン制御整流器(SCR)デバイスのような他のタイプのESD保護デバイスの手段のための窓を開けることとなる。
B.選択結晶成長(SEG)
32nm技術ノードでは、10nmより狭いフィン幅が、良好な短チャネル特性を維持するために必要とされる。それらの狭いフィンのデバイスでは、アクセス抵抗が非常に高い。ソースやドレイン上のSEGは、一般にはコンタクト抵抗を、約50%まで低減するために使用される。増加したシリコン値により、過剰シリサイド化(over-silicidation)の問題は大きく低減され、4桁のリーク電流の低減ができる。SEGは、広いフィンのデバイスにあまり影響を与えるため、狭いフィンのデバイスのみが、SEGのESDへの影響を研究するために使用される。SEGの研究に使用されたウエハは、tCESLを受けなかった。
図23および図24は、異なったLgに対して、狭いフィンのN型およびP型のFinFETのそれぞれに対する影響を示す。NMOSとPMOSの双方に対して、SEGがIt2を劇的に増加させ、Ronを低減し、一方、Lgへの依存性はそのままである。改良されたロバスト性は、ソースおよびドレインアクセス領域の、より広いフィン寸法のために、フィンのドレイン−ボディ接合からの良好な温度放出および保持に起因する。PMOS特性は、SEGにあまり影響されない。なぜならば、一般にPMOSのFinFETデバイスでは、コンタクト抵抗は制限ファクタではなくキャリア移動度だからである。
また、電流の均一性が改善されるため、過剰シリサイド化の低減は、SEGデバイスのIt2を増加させるファクタである。過剰シリサイド化の低減は、SEGの測定ばらつきを低くし、Vを低減する。過剰シリサイド化中に形成されたショットキコンタクトは、寄生バイポーラのターンオン中に衝突イオン化により形成されるキャリアの再結合のソースであり、そのβを低くする。この結果、バイポーラ動作を継続させるのに必要な電圧が、過剰シリサイド化が起きない場合より高く押し上げられる。PMOSは、ホウ素の存在により過剰シリサイド化の問題が無いためVhの減少はあまり明白ではない。
C.歪
狭いフィンのデバイスでは、電流は主にデバイスの側壁中を流れる。[110]電流方向を有する{100}シリコンウエハから始めた場合、この結晶方位の変化が、電子移動度を低下させ正孔の移動度を改善する。20%までのNMOSの特性改善が、tCESLで測定された。PMOSで見られる改良は、圧縮歪が低くため、約10%である。
圧縮歪によるPMOSの改良は緩やかであり、tCESLによるPMOSの低下は殆ど見られないため、tCESLのみの、より単純なプロセススキームを使用することができる。Lgの関数としてtCESLを有するおよび有しない、狭いNMOSおよびPMOSデバイスの、内在的な標準化されたIt2が、図25に示される。20%までの改良は、中間のLgを有するNMOSデバイスで測定される。この改良は、異なるフィンの間の、より良い電流均一性のためと思われる。It2の僅かな減少のみが、PMOSで観察される。図26に示すように、PMOSに対してVが変化しない一方、減少したVが、最も小さいLgのNMOSデバイスで測定される。この改良は、改良された電子移動度により、よりCESLにより影響されるため、より小さなゲート長で明白となる。なお、フィンの堆積膨張により、SiNライナーとチャネルとの距離が減少し、SEGは歪のために移動度の改良を少なくする。
D.シリサイドブロッキング
シリサイドブロッキングは、ESDロバスト性を改良する技術として知られている。シリサイドブロッキングは、ソースおよびドレイの双方の方向に、0.4μmゲートを越えて適用された(図27)。LSおよびLDは、シリサイドブロッキング領域に適用するために増加した。TLPのIVカーブが、シリサイドブロッキング領域の有る場合と無い場合について、狭いおよび広いN型FinFETデバイスについて図28に示される。狭いおよび広いフィンのデバイスの双方に対してIt2が増加する。シリサイドブロッキングによる過剰シリサイド化の除去が、このIt2の増加のための根本原因として定義される。シリサイドブロックされたデバイスのVの測定された減少が、SEGの場合と同様に、この要求を支持する。
VI.熱傾向
このセクションでは、TCAD分析の結果について、異なる幾何学的パラメータの更なる小型化の、It2への影響とともに検討する。サブセクションAでは、最初にフィン幅の小型化に影響について調査する。次にサブセクションBでは、フィンとフィンとの間隔について検討する。サブセクションCおよびDでは、小型化されたフィンの高さとBOX膜厚について、それぞれ検討する。
A.フィン幅の小型化
参考文献3では、内在的シリコン幅(Wintr=N・Wfin)に対して標準化されたIt2の影響について、TLP測定の手段により、バイポーラモードのMOSデバイスについて研究した。狭いフィンが、改良された冷却特性を有し、内在的なESDロバスト性を増加させることが分かった。
単純化した水平2D断面(図29の上図)が、Wfinが30nm、75nm、175nm、および1μmの2D−TCADシミュレーションに使用された。これらのシミュレーションは、複雑さとシミュレーション時間が減少するため、実際の3Dシミュレーションより好ましい。NMOSデバイスはバイポーラモードで動作し、75nmのLgと170nmのフィン間隔を有し、これらは測定されたデバイスの寸法に対応する。シミュレーションは、マルチフィンデバイスの中心の1つのフィンを表す。勿論、反射境界条件により、実際には同一の構造によりこの構造は囲まれる。40μmフィンのプレーナデバイスの場合、図29(下図)に示すような垂直な2D断面を考慮することができる。しかしながら、そのような実際の断面はBOXの存在を必要とし、底面において(実際の)第2ゲートを避け、これにより正しい境界条件を確実にする。これは、水平シミュレーションと直接比較できず、実際の温度勾配は不可能である。それゆえに、水平デバイスのみがシミュレーションに使用され、1μmフィン幅が、広いプレーナデバイスを表す。実施の温度勾配の除去の単純化は、温度の過大評価につながり、傾向のみが得られる。
15mAで100nsのTLPパルス(30nmのWfinが400個のIt2の20%)が、接地ゲート構造のNMOSデバイスについてシミュレーションされ、最高温度が記録された。デバイスサイズの標準化は、Wintrに対して行われ、異なるWfin寸法のデバイスに対して同一電流密度(1.25mA/μm)とする。そのようなTLPパルス中に、それぞれ30nm幅の400個のフィンからなる参照デバイスに対する最高温度が図30に示される。
TLPパルスの最後において、最高温度は420Kであり、ドレインとフィンボディとの間の接合に達する。高い電流レベルでの等温電流不安定性のために、それらのデバイスでは均一性が欠け、一定の電流密度のシミュレーションが、一定の電力に代わって使用される。異なるWfinのデバイスに同じ電流密度のシミュレーションを行った場合、図31のように、Wfinの増加に伴う最大電流が観察された。この結果、It2が減少する。
更に、30nmに比較したそれぞれのWfinのばらつきに対して、測定されたIt2の比を取り、この比に対応してデバイスに低いTLP電流パルスを与えた場合(75nmに対して0.83mA/μm、175nmに対して0.62mA/μm、および1μmに対して0.42mA/μm)、TCADシミュレーションは、図32のように、全てのデバイスが、40Kのばらつき内の同じ温度挙動を示す。これは、WfinへのIt2の依存が、2D−TCADにより完全に示すことができ、参考文献3で報告されるように、サイドゲートや狭いフィンのためのソース/ドレインのランディングパッドにより熱の除去が改良されることを意味する。
これらの結果に基づいて、現在の最小設計ルールより小さい、例えば15nmのWfinに対して、同じ温度挙動が得られるTLPパルスのシミュレーションにより、予想を行うことができる。Wfin=15nmの場合、期待された内在的な特性は、30nmフィン幅の6mA/μmに比較して、8.9mA/μmである。
B.フィンとフィンの間隔の小型化
フィンとフィンの間隔を減らした場合、フィンとフィンの加熱が増加するため内在的なロバスト性が減ることが期待される。一方で、レイアウト効率(Wlayout=N・Wfin+(N−1)・S)は、面積消費が減るために更に改良されるであろう。このTCAD手法を用いて、そのような将来のフィンとフィンの間隔について予想を行うことができる。フィン間隔を約30nmまで狭くした場合、内在的なロバスト性は60%より多く低減できると予想されるにもかかわらず、図33のように、レイアウト効率は30%より多く増加することが期待される。
C.フィン高さの小型化
同様の2D−TCADアプローチは、低減されたHfinの影響を理解するのに使用できる。図29(上図)と同様の水平断面を用いる場合、フィン高さは、デバイスの面積の小型化を変えることにより、デバイスシミュレーションに含まれる。実際の温度勾配は、そのような水平断面により無能力であるため、面積の大きさを変えることによるフィン高さの変化は、Hfinを有するIt2を完全に小型化する。
しかしながら、Hfinは垂直の幾何学パラメータであるため、図29(下図)に示すような、対応断面を用いた垂直シミュレーションが必要となる。
0.64mA/μm電流密度を用いた2D垂直TCADのTLPシミュレーションが、75nmのLgのバイポーラモードのNMOSについて、40nmまで小型化したフィン高さ、および15nmのウルトラシンボディ(Ultra-Thin-Body: UTB)と、現状の65nmHfinを比較して行われた。TLPパルス中の最高温度が、図34に示される。明らかに、薄いシリコン膜に同じ量の電流を通すと、加熱される。以前に記載した手法を用いると、電流密度は、同様の最高温度にするには、図35に示すように、40nmHfinに対して0.52mA/μmまで、15nmHfinに対して0.31mA/μmまで減らす必要がある。これらの電流比に基づいて、It2の見積りが計算される。
水平TCAD(単純なHfin小型化)と垂直TBCADとを比較する双方のシミュレーションが、図36に示される。より現実的な垂直TCADシミュレーションは、Hfinが減少した場合、It2性能が、単純なHfin小型化(水平TCAD)で期待されたより多く改良される。この改良は、フィンが薄くなった場合フィンの底部(BOX近傍)からゲートまでの距離が低減されるため、上部ゲートによる垂直冷却が改良されるという事実による。
この結果は、2D垂直TCADにより課される、広いフィンのデバイスにのみ有効であるため、重要な考慮を行う必要がある。狭いフィンデバイスでは、上部ゲートから熱除去への間接的な貢献は、もっと少ない。なぜなら、殆ど側壁ゲートにより支配されるからである。狭いフィンデバイスについての2DのTCADシミュレーションは垂直水平断面を必要とし、これはHfin小型化のために必要とされる垂直断面と矛盾し、それゆえにそのようなシミュレーションは、より正確な結果を得るには複雑な3DのTCADを必要とする。狭いフィンのデバイスで期待されるHfinへの依存性は、図36の双方のカーブの間のどこかに位置する。狭いフィンのデバイスについてのHfin小型化のために、水平断面にかかる最悪の場合の単純な直線のHfin小型化は、将来のプロセスターゲットの性能を予測する場合に用いることができる。
D.BOX膜厚の小型化
BOX小型化(TBOX)と、短チャネル特性についてのトレードオフが、参考文献12で実験的に立証され、低減されたTBOXについて、改良されたVT−rolloffとサブスレッシュホールド傾き特性を示す。一方、BOX小型化により増加した接合容量は、5nmのTBOXに対して10%インバータ遅延を低減する。更に、低減されたTBOXは、熱放出を改良するために知られており(参考文献13)、それゆえにESDロバスト性に対して有用である。
BOXは垂直幾何学パラメータであるため、Hfin小型化の場合と同じように、図29(下図)に示された断面が用いられ、それゆえに、結果は、広いフィンのデバイスにのみ適用される。10nmの非常に薄いBOXを有するNMOSデバイスの加熱が、図37に、TCADシミュレーションによる参照145nmTBOXと比較される。10nmTBOXに対して電流密度を1.38mA/μmまで増加すると、期待されるIt2レベルの2倍の結果となる0.64mA/μmのTLPパルス中の145nmTBOXと、同じ最高温度が100ns後に得られる。温度プロファイルは、0.64mA/μmTLPシミュレーション中に、145nmと10nmのTBOXに対して、80nm後に得られ、図38の上図と下図にそれぞれ示される。より厚いTBOXは、明らかに基板への熱伝導を妨げ、これによりシリコンフィンの温度を上昇させる。
VII.まとめ
本発明は、バイポーラモードおよびMOSダイオードモードのMOSFETデバイス、およびゲートダイオードの、ESDデバイスパラメータへのFinFETレイアウトパラメータの全ての複雑な依存性を考慮することが可能な設計手法を含む。
最初、FinFETデバイスに対して、3.4kVのHBMまでのESD保護レベルが説明され、TLP測定を用いた場合と同様の結果と傾向が得られた。そのようなレベルに対するESDのHBTロバスト性は、フルICのESD保護レベルに対して重要な工程である。HBMタイプのESDストレスの設計手法の有効性が証明された。この手法を用いることにより、異なるトレードオフに対するより深い洞察が得られる。
設計手法は、一般に、ESD特性パラメータ(例えば、面積、リーク電流、寄生容量等)に対するレイアウトおよび/またはパラメータのセットを最適化するために用いられるが、一方で、所定の設計制限のセットで制限される。
この手法は、所定の設計制限に合致する、即ち、MOSFETデバイスのESDロバスト性、リーク電流、および最大許容電圧に合致する最小面積の解を最適化することにより、上述のように行われる。
技術は変化し続けるため、異なる依存性も同様に変化する。例えば、フィン間隔が低減されると、小さなフィンのデバイスは、同じ総チャネル幅のプレーナに関して、「間隔」の優位点を有するが、これは熱の観点および/またはRonにより緩和することができる。表された設計手法の適用は、なおも有効であり、好ましい。なぜなら、それらの多くのトレードオフは、同時に考えられるからである。
更に、単純なTCADシミュレーション手法が、異なる幾何学的パラメータであるフィン幅、フィンとフィンの間隔、フィン高さ、およびBOXの膜厚の関数として、熱的挙動を分析するために使用された。それらの結果は、やがて来るプロセスターゲットの熱的挙動の予測を補うために組み合わせても良い。
本発明は、更に、所定の回路応用の最適のESD保護戦略の設計に用いても良い。
参考文献
参考文献1:International Technology Roadmap of Semiconductors (ITRS), Available: http://www.itrs.net.
参考文献2:J.A. Choi et al, "Large Scale Integration and Reliability Consideration of Triple Gate Transistors", IEDM Techn. Dig. 2004, pp 647-650.
参考文献3:D. Tremouilles et al, "Understanding the Optimization of Sub-45nm FinFET Devices for ESD Applications", EOS/ESD Symposium 2007, pp 408-415.
参考文献4:N. Collaert et al, " Multi-Gate Devices for the 32 nm Technology Node and Beyond", ESSDERC 2007, pp 143-146.
参考文献5:N. Collaert et al, "Tall Triple-Gate Devices with TiN/HfO2 Gate Stack", VLSI Symposium, 2005, pp 108-109.
参考文献6:M. Scholz et al, "Calibrated wafer-level HBM measurements for quasi-static and transient device analysis", EOS/ESD Symposium 2007, pp 89-94.
参考文献7:T. Hoffmann et al, "GIDL and Parasitic Schottky Barrier Leakage Elimination in Aggressively Scaled HfO2/TiN FinFET Devices", IEDM Tech. Dig. 2005, pp 725-728.
参考文献8:N. Collaert et al, "Multi-gate Devices for the 32 nm Technology Node and Beyond: Challenges for Selective Epitaxial Growth", Abstract book ICSI-5, 2007, pp 129-130.
参考文献9:W. Wu et al, "Analysis of Geometry-Dependent Parasitics in Multifin Double-Gate FinFETs", IEEE Trans. Electron Devices, vol. 54, no. 4, pp 692-698, 2007.
参考文献10:B. Parvais et al, "Analysis of the FinFET Parasitics for Improved RF Performances", IEEE International SOI Conference, 2007, pp 37-38.
参考文献11:E. Augendre et al, "On the Scalability of Source/Drain Current Enhancement in Thin Film sSOI", ESSDERC 2005, pp. 301-304.
参考文献12:M. Fujiwara et al, "Impact of BOX Scaling on 30 nm Gate Length FD SOI MOSFET", IEEE Int. SOI Conf. 2005, pp. 180-182.
参考文献13:L. J. McDaid et al, "Physical Origin of Negative Differential Resistance in SOI Transistors", Electronics Letters, vol. 25. no. 13, pp. 827-828, 1989.

Claims (11)

  1. 所定の製造プロセスの手段により所定のレイアウトを有するMuGFETのESD保護デバイスを製造する方法であって、
    当該方法は、第1のセットは製造プロセスにより固定され、第2のセットは可変である、複数の相互に依存したレイアウトおよびプロセスパラメータを選択する工程を含み、第2のセットは、少なくともMuGFETのESD保護デバイスのフィン幅(Wfin)、ゲート長(L)およびフィン数(N)を含み、第2のセットは、更に、フィン幅(Wfin)、ゲート長(L)およびフィン数(N)に依存する少なくとも1つの他のパラメータのサブセットを含み、
    当該方法は、
    a)少なくともフィン幅(Wfin)、ゲート長(L)およびフィン数(N)の採りうる値の複数の組み合わせを選択する工程であって、それぞれの組み合わせは、MuGFETのESD保護デバイスのために予め決められたESDの制限に合致するように選択される工程と、
    b)予め決められたフィン幅(Wfin)、ゲート長(L)およびフィン数(N)との関係に基づいて、少なくとも1つのサブセットの他のパラメータのために、複数の値を決定する工程と、
    c)工程b)で決定された複数の値に基づいて、少なくとも1つのサブセットの他のパラメータのために、最適値を決定する工程であって、最適値は、予め決定されたESDの制限から離れた予め決められた設計ターゲットの観点から決定する工程と、
    d)工程c)で決められた最適値に基づいて、フィン幅(Wfin)、ゲート長(L)およびフィン数(N)の値を決定する工程と、
    e)所定の製造プロセスと、工程d)で決められた値を用いて、MuGFETのESD保護デバイスを製造する工程と、を含むことを特徴とする方法。
  2. 工程c)の最適値は、予め決定されたESDの制限と、デバイスの可能な他の要求される特性を考慮して、補間により決定される請求項1に記載の方法。
  3. レイアウトパラメータ:ランディングパッドとゲートとの距離(LSD)、フィンを覆うゲート(Wext)、およびフィンとフィンの間隔(S)は、製造プロセスに応じて、第1のセットまたは第2のセットのいずれかに属する請求項1または2に記載の方法。
  4. レイアウトパラメータ:歪、ウエル注入、選択結晶成長、シリサイドブロッキング、埋め込み酸化物の膜厚は、製造プロセスに応じて、第1のセットまたは第2のセットのいずれかに属する請求項1〜3のいずれか1項に記載の方法。
  5. 第2のセットの可変パラメータは、更に、パラメータ:コンタクト面積(Lcont)およびデバイスの折りたたみファクタ、の1またはそれ以上を含む請求項1〜4のいずれか1項に記載の方法。
  6. サブセットの少なくとも1つの他のパラメータは、パラメータ:デバイスの全面積、最大電圧、電圧オーバーシュート中の最大電圧、リーク電流、容量、の1またはそれ以上を含む請求項1〜5のいずれか1項に記載の方法。
  7. MuGFETのESD保護デバイスは、寄生バイポーラまたは能動MOSデバイスモードのいずれかを操作するために提供されたMOSクランプである請求項1〜6のいずれか1項に記載の方法。
  8. MOSクランプはバイポーラモードで動作するように設計され、
    予め決定されたESDの制限は、所定のリーク特性に合致し、例えばゲート酸化物ブレイクダウン電圧のような所定の最大電圧Vmaxを越えないように、デバイスが耐えなければならないESD歪を含み、
    予め決定された設計ターゲットは、MOSクランプの最小総面積である請求項7に記載の方法。
  9. MOSクランプは、MOSダイオードモードで動作するように設計され、
    予め決定されたESDの制限は、所定のリーク特性に合致し、例えばゲート酸化物ブレイクダウン電圧のような所定の最大電圧Vmaxを越えないように、デバイスが耐えなければならないESD歪を含み、
    予め決定された設計ターゲットは、MOSクランプの最小総面積および最小フィン数である請求項7に記載の方法。
  10. MuGFETのESDデバイスはゲートダイオードであり、予め決定された設計ターゲットは最小寄生容量である請求項1〜6のいずれか1項に記載の方法。
  11. MuGFETのESDデバイスは、接地ゲートNMOSのMuGFETデバイスである請求項1〜6のいずれか1項に記載の方法。
JP2009114267A 2008-05-09 2009-05-11 MuGFETのESD保護デバイスの設計手法 Pending JP2009283934A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP08156029A EP2117045A1 (en) 2008-05-09 2008-05-09 Design Methodology for MuGFET ESD Protection Devices

Publications (2)

Publication Number Publication Date
JP2009283934A true JP2009283934A (ja) 2009-12-03
JP2009283934A5 JP2009283934A5 (ja) 2012-03-01

Family

ID=39591638

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009114267A Pending JP2009283934A (ja) 2008-05-09 2009-05-11 MuGFETのESD保護デバイスの設計手法

Country Status (3)

Country Link
US (1) US7923266B2 (ja)
EP (2) EP2117045A1 (ja)
JP (1) JP2009283934A (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9563733B2 (en) * 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
JP5034933B2 (ja) * 2007-12-26 2012-09-26 富士通セミコンダクター株式会社 半導体装置の製造方法
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
SG192532A1 (en) 2008-07-16 2013-08-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
KR101478554B1 (ko) * 2008-10-02 2015-01-06 삼성전자 주식회사 오버 슈트 전압의 산출 방법 및 그를 이용한 게이트 절연막열화분석방법
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9165891B2 (en) 2010-12-28 2015-10-20 Industrial Technology Research Institute ESD protection circuit
TWI409938B (zh) 2010-12-28 2013-09-21 Ind Tech Res Inst 靜電放電保護電路
US8614121B2 (en) 2011-11-29 2013-12-24 International Business Machines Corporation Method of manufacturing back gate triggered silicon controlled rectifiers
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
CN103187412B (zh) * 2011-12-30 2015-11-25 中芯国际集成电路制造(上海)有限公司 半导体防静电保护结构
US8916426B2 (en) 2012-03-27 2014-12-23 International Business Machines Corporation Passive devices for FinFET integrated circuit technologies
US8692291B2 (en) * 2012-03-27 2014-04-08 International Business Machines Corporation Passive devices for FinFET integrated circuit technologies
US9219056B2 (en) 2012-03-27 2015-12-22 International Business Machines Corporation Passive devices for FinFET integrated circuit technologies
US8689154B2 (en) * 2012-04-13 2014-04-01 Globalfoundries Inc. Providing timing-closed FinFET designs from planar designs
US8912577B2 (en) * 2012-09-19 2014-12-16 The United States Of America As Represented By The Secretary Of The Army Distributed heating transistor devices providing reduced self-heating
US9209265B2 (en) 2012-11-15 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. ESD devices comprising semiconductor fins
US9059044B2 (en) 2012-11-15 2015-06-16 International Business Machines Corporation On-chip diode with fully depleted semiconductor devices
US8890249B2 (en) 2012-11-30 2014-11-18 International Business Machines Corporation Bulk FinFET ESD device
US9064720B2 (en) * 2012-12-28 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling capacitor for FinFET compatible process
US8719759B1 (en) * 2013-02-27 2014-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Area optimized series gate layout structure for FINFET array
US9018054B2 (en) 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
US9620502B2 (en) 2013-04-10 2017-04-11 Samsung Electronics Co., Ltd. Semiconductor device including an extended impurity region
US9240471B2 (en) 2013-08-28 2016-01-19 Globalfoundries Inc. SCR with fin body regions for ESD protection
US9158879B2 (en) * 2013-09-04 2015-10-13 Globalfoundries Inc. Color-insensitive rules for routing structures
US9236374B2 (en) 2014-01-02 2016-01-12 Globalfoundries Inc. Fin contacted electrostatic discharge (ESD) devices with improved heat distribution
US10700170B2 (en) * 2014-04-29 2020-06-30 Globalfoundries Inc. Multiple fin finFET with low-resistance gate structure
CN105718611B (zh) * 2014-12-02 2019-03-15 中国科学院微电子研究所 FinFET工艺标准单元库版图结构设计方法
US9418197B1 (en) * 2015-02-02 2016-08-16 Texas Instruments Incorporated Method for designing diodes
US9368484B1 (en) * 2015-05-28 2016-06-14 United Microelectronics Corp. Fin type electrostatic discharge protection device
US9928335B2 (en) 2015-06-02 2018-03-27 Globalfoundries Inc. Temperature-compliant integrated circuits
US9741857B2 (en) * 2015-08-07 2017-08-22 Ahmad Tarakji Approach for an area-efficient and scalable CMOS performance based on advanced Silicon-On-Insulator (SOI), Silicon-On-Sapphire (SOS) and Silicon-On-Nothing (SON) technologies
US10714623B2 (en) * 2015-08-07 2020-07-14 Ahmad Houssam Tarakji Approach for an area-efficient and scalable CMOS performance based on advanced silicon-on-insulator (SOI), silicon-on-sapphire (SOS) and silicon-on-nothing (SON) technologies
US9793262B1 (en) 2016-04-27 2017-10-17 Globalfoundries Inc. Fin diode with increased junction area
US10347622B2 (en) 2017-01-05 2019-07-09 Globalfoundries Inc. Silicon-controlled rectifiers having a cathode coupled by a contact with a diode trigger
US10600774B2 (en) 2018-04-06 2020-03-24 Qualcomm Incorporated Systems and methods for fabrication of gated diodes with selective epitaxial growth

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110914A (ja) * 1999-10-07 2001-04-20 Nippon Telegr & Teleph Corp <Ntt> 半導体集積回路および半導体集積回路の製造方法
JP2006522460A (ja) * 2002-12-03 2006-09-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 横型ルビスター構造(laterallubistorstructure)および形成方法
JP2007053387A (ja) * 2005-08-19 2007-03-01 Infineon Technologies Ag 電気回路中にて静電気放電保護素子として用いるためのゲート制御されたフィン型抵抗素子、および、電気回路内部を静電気放電から保護するための保護装置
JP2007053316A (ja) * 2005-08-19 2007-03-01 Toshiba Corp Esd保護素子

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110914A (ja) * 1999-10-07 2001-04-20 Nippon Telegr & Teleph Corp <Ntt> 半導体集積回路および半導体集積回路の製造方法
JP2006522460A (ja) * 2002-12-03 2006-09-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 横型ルビスター構造(laterallubistorstructure)および形成方法
JP2007053387A (ja) * 2005-08-19 2007-03-01 Infineon Technologies Ag 電気回路中にて静電気放電保護素子として用いるためのゲート制御されたフィン型抵抗素子、および、電気回路内部を静電気放電から保護するための保護装置
JP2007053316A (ja) * 2005-08-19 2007-03-01 Toshiba Corp Esd保護素子

Also Published As

Publication number Publication date
EP2117046A1 (en) 2009-11-11
EP2117045A1 (en) 2009-11-11
US7923266B2 (en) 2011-04-12
US20090280582A1 (en) 2009-11-12

Similar Documents

Publication Publication Date Title
JP2009283934A (ja) MuGFETのESD保護デバイスの設計手法
US10971624B2 (en) High-voltage transistor devices with two-step field plate structures
US10032764B2 (en) FinFET with ESD protection
TWI639197B (zh) 半導體元件的製造方法
US20130113051A1 (en) High performance low power bulk fet device and method of manufacture
US10186507B2 (en) Electrostatic discharge protection structure and fabricating method thereof
TW201539750A (zh) 半導體裝置
CN105261650A (zh) 功率mosfet和制造功率mosfet的方法
US8823099B2 (en) Semiconductor device having gradient doping profile
TW202201710A (zh) 靜電放電裝置及半導體裝置的製造方法
Linten et al. ESD in FinFET technologies: Past learning and emerging challenges
KR101803613B1 (ko) 반도체 소자 구조물 및 그 형성 방법
JP2008192819A (ja) 半導体装置
US9306001B1 (en) Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices
Tremouilles et al. Understanding the optimization of sub-45nm FinFET devices for ESD applications
Griffoni et al. Next generation bulk FinFET devices and their benefits for ESD robustness
Kumar et al. Performance and reliability insights of drain extended FinFET devices for high voltage SoC applications
CN103367407A (zh) 不通电的伪栅极
Thijs et al. Design methodology of FinFET devices that meet IC-Level HBM ESD targets
Thijs et al. Characterization and optimization of sub-32-nm FinFET devices for ESD applications
Chen et al. ESD characterization of gate-all-around (GAA) Si nanowire devices
CN105140221A (zh) 半导体器件及其形成方法、静电放电保护方法
Chen et al. ESD protection diodes in bulk Si gate-all-around vertically stacked horizontal nanowire technology
Chen et al. Understanding ESD characteristics of GGNMOS in bulk FinFET technology
Toledano-Luque et al. Reliability-aware FinFET design

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120116

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130806

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140107