JP2009176012A - 半導体装置の製造方法、半導体装置の製造プログラムおよび半導体装置の製造システム - Google Patents

半導体装置の製造方法、半導体装置の製造プログラムおよび半導体装置の製造システム Download PDF

Info

Publication number
JP2009176012A
JP2009176012A JP2008013494A JP2008013494A JP2009176012A JP 2009176012 A JP2009176012 A JP 2009176012A JP 2008013494 A JP2008013494 A JP 2008013494A JP 2008013494 A JP2008013494 A JP 2008013494A JP 2009176012 A JP2009176012 A JP 2009176012A
Authority
JP
Japan
Prior art keywords
wiring
physical layout
satisfy
information
signal delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008013494A
Other languages
English (en)
Other versions
JP4938696B2 (ja
Inventor
Kyoko Dewa
恭子 出羽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2008013494A priority Critical patent/JP4938696B2/ja
Priority to TW097149923A priority patent/TW200941267A/zh
Priority to KR1020090000780A priority patent/KR20090082107A/ko
Priority to US12/355,216 priority patent/US8185856B2/en
Priority to CN2009100010036A priority patent/CN101494162B/zh
Publication of JP2009176012A publication Critical patent/JP2009176012A/ja
Priority to US13/288,247 priority patent/US8381160B2/en
Application granted granted Critical
Publication of JP4938696B2 publication Critical patent/JP4938696B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】信号遅延を調整するにあたりリソグラフィマージンを考慮したリピータの挿入を行うこと。
【解決手段】本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程(ステップS101〜S102)と、物理レイアウトの転写像計算を行う工程(ステップS104)と、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求める工程(ステップS106)と、仕様を満たさない配線について、図形の情報および転写像計算の結果に基づきリピータの挿入箇所を設定する工程(ステップS107)とを備える半導体装置の製造方法である。
【選択図】図1

Description

本発明は、半導体集積回路における配線に信号遅延を調整するリピータを挿入するパターン設計を備えた半導体装置の製造方法、半導体装置の製造プログラムおよび半導体装置の製造システムに関する。
近年、半導体の微細化に伴い、集積回路の物理レイアウトが複雑化している。この物理レイアウトからマスクデータを作成する処理は、配置配線後に出力されるレイアウト(図形データによるレイアウト)から開始される。すなわち、配置配線処理後に出力されるレイアウトに対してデザインルールチェック(DRC)、スケマティック検査(LVS)を行った後、パターンに対して光近接効果補正(OPC)が施され、このOPC検証後にマスクデータが作成される。
一方、このようなレイアウト処理と並行して、半導体集積回路における寄生容量値および寄生抵抗値を算出する、いわゆるRC抽出、遅延計算、静的タイミング解析(STA)などのタイミング収束の処理がなされている。
最近では、前述の物理レイアウト複雑化のために前記レイアウト処理側とタイミング収束側の相互の影響が懸念されはじめてきている。例えば、詳細配線後のレイアウトを変更する場合には、タイミングに対する影響が保証される必要があり、タイミング収束のための処理ではレイアウトに対する影響がないかどうかを確認する必要がある。
このうち、前者に関しては、リソグラフィマージンを超えているパターン(リソグラフィマージン未達パターン)をなくした配置配線手法が開発されているほか、デバイスごとにレイアウト処理のフロー化が開発されている。
しかしながら、後者に関してはこれまでタイミング収束を最優先した設計手法がなされてきたため、タイミングとトレードオフする要因は存在していない。
ここで、レイアウトに影響を与えるタイミング収束処理の一つにリピータ挿入処理がある。リピータは、配線の遅延を調整するために必要に応じて配線途中に挿入されるもので、設計段階で配線パターンを分割し、間にバッファ等の素子を挿入することで配線の寄生抵抗および寄生容量を調整するものである。このリピータはRC抽出後の遅延計算でタイミングエラーがあると挿入されるが、その際に挿入位置の配線を切断することから、配線切断後にレイアウトが変化することになる。このため、処理後のレイアウトにリソグラフィマージン未達パターンが存在するかを確認する必要がある。
この点について、特許文献1では、配線幅、間隔のばらつきを考慮したRCネットを列挙して、回路の入力波形をもとにバッファおよびトランジスタのサイズ変更を行い、最終的には求められたRCネットワークのうち遅延最小のものを選択し配線レイアウトとして採択する手法が提案されている。
また、特許文献2では、遅延計算およびタイミング検証後に影響を及ぼすパスを検索した後、タイミングに影響を与えている機能ブロックを判定し、バッファ挿入最適箇所を検索する技術が開示されている。さらに、バッファ挿入により影響を受けた配線の接続変更を行う技術も提案されている。
特開2003−132111号公報 特開平11−40785号公報
しかしながら、上記の従来技術では、タイミング収束処理の結果にリソグラフィマージン未達パターンが存在するかを確認してはいない。すなわち、タイミング収束のフローと、レイアウト処理のフローとのインタフェースが存在しないために、レイアウト変更時のタイミングへの影響、およびリピータ挿入時のリソグラフィへの影響を調べることが困難となっている。このため、マスクデータを作成した後にタイミングエラーが万が一発生してしまうと、レイアウトの段階まで後戻りする必要が生じ、開発時間が莫大に増えてしまうことになる。また、リピータ挿入時に配線が切断されると短い配線長の図形が生じ、リソグラフィエラーの原因となるという問題が生じている。
本発明は、タイミング収束のための処理としてリピータ挿入に注目し、リピータ挿入時にレイアウトへの影響を考慮する技術を提供することを目的とする。
本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、物理レイアウトの転写像計算を行う工程と、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求める工程と、仕様を満たさない配線について、図形の情報および転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定する工程とを備える半導体装置の製造方法である。
このような本発明では、信号遅延の仕様を満たさない配線にリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるようになる。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、物理レイアウトの転写像計算および段差計算を行う工程と、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求める工程と、仕様を満たさない配線について、図形の情報および段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定する工程とを備える半導体装置の製造方法である。
このような本発明では、信号遅延の仕様を満たさない配線にリピータを挿入するにあたり、物理レイアウトの図形の情報および段差計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるようになる。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、物理レイアウトの転写像計算を行う工程と、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求める工程と、仕様を満たさない配線の情報を容量抽出システムに送り、容量抽出システムで仕様を満たさない配線について、図形の情報および転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定する工程とを備える半導体装置の製造方法である。
このような本発明では、信号遅延の仕様を満たさない配線の情報を容量抽出システムに送り、この容量抽出システムにおいてリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるようになる。ここで、容量抽出システムとは、半導体集積回路の物理レイアウトから寄生容量を求める演算を行う計算システム(例えば、RC抽出ツール)のことを言う。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、物理レイアウトの転写像計算および段差計算を行う工程と、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求める工程と、仕様を満たさない配線の情報を配置配線システムに送り、配置配線システムで仕様を満たさない配線について、図形の情報および転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定する工程とを備える半導体装置の製造方法である。
このような本発明では、信号遅延の仕様を満たさない配線の情報を配置配線システムに送り、この容量抽出システムにおいてリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるようになる。ここで、配置配線システムとは、半導体集積回路から物理レイアウトの図形情報を生成する計算システム(例えば、配置配線ツール)のことを言う。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、物理レイアウトの転写像計算を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線について、図形の情報および転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとをコンピュータによって実行させる半導体装置の製造プログラムである。
このような本発明では、信号遅延の仕様を満たさない配線にリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算の結果のうち少なくとも一つを考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるプログラムを提供できるようになる。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、物理レイアウトの転写像計算を行うステップと、物理レイアウトの段差計算を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線について、図形の情報、転写像計算、および段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとをコンピュータによって実行させる半導体装置の製造プログラムである。
このような本発明では、信号遅延の仕様を満たさない配線にリピータを挿入するにあたり、物理レイアウトの図形の情報および段差計算の結果のうち少なくとも一つを考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるプログラムを提供できるようになる。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、物理レイアウトの転写像計算を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線の情報を容量抽出システムに送り、容量抽出システムで仕様を満たさない配線について、図形の情報および転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとをコンピュータによって実行させる半導体装置の製造プログラムである。
このような本発明では、信号遅延の仕様を満たさない配線の情報を容量抽出システムに送り、この容量抽出システムにおいてリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるプログラムを提供できるようになる。ここで、容量抽出システムとは、半導体集積回路の物理レイアウトから寄生容量を求める演算を行う計算システム(例えば、RC抽出ツール)のことを言う。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、物理レイアウトの転写像計算および段差計算の少なくとも一方を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線の情報を配置配線システムに送り、配置配線システムで仕様を満たさない配線について、図形の情報、転写像計算および段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとをコンピュータによって実行させる半導体装置の製造プログラムである。
このような本発明では、信号遅延の仕様を満たさない配線の情報を配置配線システムに送り、この容量抽出システムにおいてリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができるプログラムを提供できるようになる。ここで、配置配線システムとは、半導体集積回路から物理レイアウトの図形情報を生成する計算システム(例えば、配置配線ツール)のことを言う。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、物理レイアウトの転写像計算および段差計算の少なくとも一方を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線について、図形の情報および転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとを実行するコンピュータを含む半導体装置の製造システムである。
このような本発明では、信号遅延の仕様を満たさない配線にリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができる製造システムを提供できるようになる。
また、本発明は、導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、前記物理レイアウトの転写像計算を行うステップと、物理レイアウトの段差計算を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線について、図形の情報、転写像計算、および段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとを実行するコンピュータを含む半導体装置の製造システムである。
このような本発明では、信号遅延の仕様を満たさない配線にリピータを挿入するにあたり、物理レイアウトの図形の情報、転写像計算および段差計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができる製造システムを提供できるようになる。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、物理レイアウトの転写像計算、および段差計算の少なくとも一方を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線の情報を容量抽出システムに送り、容量抽出システムで仕様を満たさない配線について、図形の情報および転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとを実行するコンピュータを含む半導体装置の製造システムである。
このような本発明では、信号遅延の仕様を満たさない配線の情報を容量抽出システムに送り、この容量抽出システムにおいてリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができる製造システムを提供できるようになる。ここで、容量抽出システムとは、半導体集積回路の物理レイアウトから寄生容量を求める演算を行う計算システム(例えば、RC抽出ツール)のことを言う。
また、本発明は、半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、物理レイアウトの転写像計算および段差計算を行うステップと、物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップと、仕様を満たさない配線の情報を配置配線システムに送り、配置配線システムで仕様を満たさない配線について、図形の情報、転写像計算および段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップとを実行するコンピュータを含む半導体装置の製造システムである。
このような本発明では、信号遅延の仕様を満たさない配線の情報を配置配線システムに送り、この容量抽出システムにおいてリピータを挿入するにあたり、物理レイアウトの図形の情報および転写像計算のうち少なくとも一つの結果を考慮した箇所に挿入することから、リピータ挿入時のレイアウトへの影響を考慮したリピータ挿入を行うことができる製造システムを提供できるようになる。ここで、配置配線システムとは、半導体集積回路から物理レイアウトの図形情報を生成する計算システム(例えば、配置配線ツール)のことを言う。
本発明によれば、信号遅延が予め設定された仕様を満たさない配線のうちリソグラフィマージン未達パターンに的確にリピータを挿入でき、設計フローの後戻りをなくすことが可能となる。その結果、設計フロー全体を効率化することが可能となる。
以下、本発明の実施の形態を図に基づき説明する。
<処理の概要>
本発明は、製造対象となる半導体集積回路の設計支援において、回路の信号遅延を調整するため所定の配線にバッファ等の素子から成るリピータを挿入するにあたり、リソグラフィの影響を考慮してリピータを挿入する点に特徴がある。
本実施形態に係る半導体装置の製造方法とは、主として次のような工程を備えている。
(a)半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程。
(b)物理レイアウトの転写像計算および段差計算を行う工程。
(c)物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求める工程。
(d)上記仕様を満たさない配線について、図形の情報、転写像計算および段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定する工程。
より具体的には、先ず、製造対象となる半導体集積回路の詳細配線後の物理レイアウトから、この物理レイアウトを構成する図形データ(GDSフォーマットのデータ)を配置配線ツール(配置配線システムとも言う。)で生成し、この図形データを形状チェックシステムに入力する。
次に、形状チェックシステムで、レイアウトの転写シミュレーションおよび段差シミュレーションを行って配線幅、配線長が所定のフォトリソグラフィ条件を満たさない箇所、すなわちスペックが未達である箇所(リソグラフィマージン未達パターン)の座標値リストを作成する。また、回路の寄生容量値、寄生抵抗値をRC抽出ツール(RC抽出システムとも言う。)によって抽出し、回路の信号遅延を計算して、仕様を満たさない配線を求める。ここで、回路の寄生抵抗値Rは、配線膜厚および配線幅によって求まる配線断面積A、配線長L、電気抵抗率ρによって計算される。このため、転写シミュレーションの結果および段差シミュレーションの結果を用い、R=ρ×L/Aの計算によって求められる。仕様として回路の寄生抵抗値Rを用いる場合もあり、この場合には上記計算によって求めた寄生抵抗値Rが所定の値を満たさない配線を求めることになる。
次いで、配置配線等のフロアプランの制約を違反しない範囲で、上記仕様を満たさない配線のうち、リソグラフィマージン未達パターンとなっている物理レイアウト上の座標値に選択的にバッファを挿入し、再び信号遅延計算のチェック(タイミングチェック)をかける。その後、タイミングチェックに問題がなければ物理レイアウトのデータを後段(例えば、マスクデータ処理システム)に出力する。このような方法によって、詳細配線後の物理レイアウトに形状チェックをかけて、リピータ挿入推奨位置を的確に抽出できるようになる。
<第1実施形態>
次に、本発明の半導体装置の製造方法に係る第1実施形態を説明する。なお、第1実施形態の説明を行うに先立ち、比較のために従来の製造方法の流れを簡単に説明する。
図7は、従来の製造方法を説明するフローチャートである。すなわち、従来の製造方法では、配置配線ツールによる概略配線(ステップS401)、詳細配線(ステップS402)の処理によって生成した物理レイアウトの図形データ(GDSフォーマットのデータ)をマスクデータ処理へ送る。
一方、タイミング収束処理として、RC抽出処理(ステップS403)、遅延計算(ステップS404)を行い、遅延計算で予め設定された仕様を満たさないエラー(タイミングエラー)がある場合には、その対処法の一つとしてリピータの挿入を行う(ステップS406)。リピータの挿入後、再度遅延計算を行い、信号遅延が予め設定された仕様を満たしている場合には静的タイミング解析を行う(ステップS405)。
図8は、従来の製造方法で挿入する場合のリピータ挿入箇所を示す図である。図8に示す配線パターンPのうち矢印で示した位置にリピータが挿入される。リピータ挿入位置は長配線長箇所であるが、図8では長配線長箇所に対して、近傍のレイアウトを考慮せずにリピータの挿入位置が選択されている。したがって、リピータ挿入後に配線長の短い図形が生成され、リソグラフィマージン未達パターンが新たに生じる場合がある。また、リソグラフィマージン未達パターンを有する長配線P’にあるにもかかわらず、ここにはリピータが挿入されないことにもなる。
従来の製造方法では、マスクデータ処理とタイミング収束処理とが独立に行われているため、上記配線長の短い図形が生成することに対する考慮はされない。したがって、図7に示したようなリピータ挿入後にリソグラフィマージンチェックは行われないが、もし行われた場合には、図7の破線で示すように、静的タイミング解析(ステップS405)の次にリソグラフィマージン未達パターンの有無を確認し、存在する場合には配置配線ツールまで戻ってレイアウトの修正が必要となる。この後戻りによる処理時間の増加が発生することになる。
次に、本発明の半導体装置の製造方法に係る第1実施形態を説明する。図1は、第1実施形態に係る半導体装置の製造方法を説明するフローチャートである。第1実施形態と先に説明した従来技術との相違は、配置配線で生成した物理レイアウトの図形データについて形状チェックおよびOPC(光近接効果補正)およびOPC検証を行ったことである。
順に説明すると、先ず、配置配線ツールによる概略配線(ステップS101)、詳細配線(ステップS102)の処理によって生成した物理レイアウトの図形データ(GDSフォーマットのデータ)をマスクデータ処理へ送る。
一方、タイミング収束処理として、RC抽出ツールによるRC抽出処理(ステップS105)を行うが、このRC抽出ツールに回路の接続情報を送る処理(ステップS103)および配置配線ツールで生成した物理レイアウトの図形データに基づく形状チェックおよびOPC、OPC検証(ステップS104)を行う。
この形状チェックとしては、物理レイアウトの図形データから予め設定された長さ以上となる長配線長箇所を抽出する。抽出された箇所の情報は、物理レイアウト上の座標として保持される。また、OPC、OPC検証を行い、リソグラフィマージン未達パターンの抽出を行う。
上記形状チェックおよびOPC検証の結果(リソグラフィマージン未達パターンの位置)は、RC抽出ツールに入力される。RC抽出ツールに入力された情報(回路の接続情報および形状チェックおよびOPC検証の結果)は、RC抽出後の遅延計算でタイミングエラーが生じた場合に使用する。すなわち、遅延計算ツールによって、回路の信号遅延を計算し(ステップS106)、予め設定された仕様を満たさないタイミングエラーが生じた場合、この対処法のひとつとして、リピータの挿入を行う(ステップS107)。
本実施形態では、このリピータ挿入位置を、RC抽出ツールに入力した形状チェックおよびリソグラフィマージンチェックの情報(リソグラフィマージン未達パターンの位置)、さらに回路の接続情報を用い、レイアウトを考慮に入れた挿入位置とする。
回路における配線の信号遅延は、配線長の二乗に比例して増大するので、タイミングエラーがある場合には配線途中にバッファ等の素子から成るリピータを挿入することによって配線を切断し、遅延を調整してタイミングエラーを改善することができる。この際、リピータ挿入位置を、長配線長でかつリソグラフィマージン未達パターンの位置とすることにより、タイミングエラー改善と同時にリソグラフィマージン未達パターンをなくすことができる。すなわち、両者にとって好都合な位置に選択的にリピータを挿入することができる。
ここで、第1実施形態におけるリピータ挿入工程(ステップS107)について詳細に説明する。先ず、前述の形状チェック、リソグラフィマージンチェックをRC抽出ツールに入力する。RC抽出ツールは、遅延計算の前段階で製造対象となる半導体集積回路の寄生容量値・寄生抵抗値を抽出するものであるが、その際に回路の物理レイアウトの情報を扱うことから、本実施形態ではこの機能を利用している。
すなわち、RC抽出ツールでは、RC抽出を行うにあたり物理レイアウトの入出力を行う機能を備えているので、物理レイアウトの形状チェックおよびOPC、OPC検証などのリソグラフィマージンチェックの情報を付加的に入力することができる。上記形状チェックでは配置配線処理後に出力されるGDSデータ(物理レイアウトの図形データ)の配線幅、配線長の分布を調べる。
形状チェックとは、例えばデザインルールチェックの方式でレイアウトを構成する図形の線幅、線長等を調べる方法である。形状チェックの結果のヒストグラムを図2に示す。図2(a)の横軸は配線長を、縦軸は各配線長の頻度を示している。また、図2(b)の横軸は(a)の配線長を仮定した場合の配線遅延を示している。図2(b)の許容値より右の配線遅延は遅延の閾値を超える値であり、この範囲を配線長に変換した場合の範囲を図2(a)の丸印で示している。また、図2(a)と(b)との間の変換は図2(c)のグラフを用いて行っている。図2(c)は横軸が配線長、縦軸がモデル回路、容量値、抵抗値を指定して遅延計算を行ったときの遅延関数の傾きである。
すなわち、本実施形態で使用したモデル回路では配線長が長くなると、遅延関数の傾きが小さくなる、つまりスピードが遅くなることを示している。したがって、遅延が所定の値以上となる配線長(丸印内の斜線で示した配線長の部分)は配線を切断する必要がある。すなわち図2(a)の丸印内の斜線で示した配線長がレイアウトに存在する場合、その配線長部分にリピータを挿入することになる。
なお、図2に示す例では、配線長について回路の遅延計算、容量値および抵抗値の制約から決められる仕様を満たすか否かの観点から許容値を設定しているが、配線長のほか、配線幅、半導体集積回路の素子を構成する金属の膜厚、相関絶縁膜の膜厚のうち少なくとも1つに関する許容値を用いるようにすればよい。
さらに、本実施形態では、物理レイアウトについてOPCおよびOPC検証を施し、この結果として得られるリソグラフィマージン未達パターンの情報を用いてリピータ挿入箇所を決定する。
一例として、OPCおよびリソグラフィマージンチェックの転写シミュレーションの光学条件として、露光波長193nm、NA=0.75、σ=0.85、2/3輪帯に設定し、露光量を13.5mJセンターで0.5mJステップずつ変動させ、デフォーカス量を±0.2μmの範囲を0.05μmステップで計算を行っている。なお、ドーズ・フォーカス条件の設定値は100nmのラインアンドスペースをターゲットとしている。また、OPC処理はリソグラフィマージン未達パターンが抽出できればかけてもかけなくてもよい。
ここで、リソグラフィマージン未達パターンとは、例えば図3に示すような、太い配線幅のラインP1近傍のラインに多数存在した。150nm以上のライン幅の近傍に100nmのラインが存在すると100nmのライン幅が狭まりリソグラフィマージン未達パターンとなる。この100nmのライン幅が狭まっている箇所では、100nmのラインが短くなれば(配線が切断されれば)リソグラフィマージン未達パターンを削減することができる。
こうしたリソグラフィマージン未達パターンの位置(座標)をRC抽出ツールに入力し、回路の接続情報を参照すると、リピータの挿入が必要な場合に、リソグラフィマージン未達パターンに選択的に挿入することができる。
このリソグラフィマージン未達パターンの位置は、転写像の計算結果から得る場合のほか、回路の接続情報や段差シミュレーションの計算結果からも得ることができる。また、転写像の計算結果および回路の接続情報、段差シミュレーションの計算結果の複数から得るようにしてもよい。回路の接続情報からリソグラフィマージン未達パターンの位置を特定する場合、転写像の計算結果から特定する場合に比べて位置が多少ずれることが予測されるが、配線の切断と言う目的では1nmのレベルの精度が必要なわけではない。
本実施形態で選択されたリピータ挿入位置を図3の矢印で示している。矢印の配線長は太いラインP1の近傍に存在する長配線P’である。転写像(図中太実線)をみると矢印の位置を含めた近傍で配線幅が狭いことがわかる。そこで、この位置にリピータが挿入されればリソグラフィマージンの観点から好都合である。
本実施形態では、こうしてリピータを挿入した物理レイアウトに再び検証を施し、新たに問題がないことを確認し(ステップS108)、遅延計算(ステップS106)、静的タイミング解析(ステップS109)を行う。
このように本実施形態では、リピータ挿入後に、リソグラフィマージンチェック、タイミング検証が再びなされる。ここで、レイアウト検証(ステップS108)に関しては不必要であれば省略することができる。処理が不必要な場合とは、レイアウトの変更がわずかで、明らかに新たに発生するリソグラフィマージン未達パターンがないと判断できる場合である。
なお、本実施形態において、上記説明したOPC、OPC検証の条件は製造対象となる半導体集積回路に応じて各々設定することができる。また、形状チェックはデザインルールチェック方式のほか、レイアウトおよびウエハ画像分析、膜厚分布等によるものでもよい。また、本実施形態で使用したリピータ挿入のレイアウトの例は回路図面の一例に過ぎない。
さらに、本実施形態では長配線長箇所でかつリソグラフィマージン未達パターンに選択的にリピータを挿入したが、この条件に限られるものではない。例えば、図6に示すように、リソグラフィマージン未達パターン、長配線長箇所、クリティカルパスという3つの条件のうち、少なくとも2つが重なる条件を満たす箇所にリピータを挿入するよう、目的に応じて設定してもよい。
また、本実施形態では、形状チェックとして、半導体集積回路の物理レイアウトの図形データから予め設定された長さ以上となる長配線長箇所を抽出する例を説明したが、これ以外の形状チェックとして、物理レイアウトの断面構造から配線の段差を検出し、この段差が予め設定された値以上となる配線の箇所を抽出し、リピータ挿入箇所の候補とするようにしてもよい。
<第2実施形態>
次に、本発明の半導体装置の製造方法に係る第2実施形態を説明する。第2実施形態は、先に説明した第1実施形態における形状チェック、OPC、OPC検証の処理をRC抽出ツール(RC抽出システム)に内蔵したものである。
図4は、第2実施形態に係る半導体装置の製造方法を説明するフローチャートである。
先ず、配置配線ツールによる概略配線(ステップS201)、詳細配線(ステップS202)の処理によって生成した物理レイアウトの図形データ(GDSフォーマットのデータ)をマスクデータ処理へ送る。
一方、生成した物理レイアウトの図形データをRC抽出ツールに送り、RC抽出ツール内で形状チェック、OPC、OPC検証、およびRC抽出を行う(ステップS203)。すなわち、RC抽出ツールでは、詳細配線後の物理レイアウトの図形データから予め設定された長さ以上となる長配線長箇所を抽出する。また、RC抽出ツールは、物理レイアウトの図形データからOPC、OPC検証を行い、リソグラフィマージン未達パターンの抽出を行う。OPC、OPC検証の条件およびリソグラフィマージンチェックの内容は先に説明した第1実施形態と同じでよい。
形状チェックとしては、物理レイアウトの図形データから予め設定された長さ以上となる長配線長箇所を抽出する。抽出された箇所の情報は、物理レイアウト上の座標として保持される。
また、リソグラフィマージン未達パターンの検証は、OPC、OPC検証を行い、所定のリソグラフィマージンを達成していない箇所の抽出を行う。なお、リソグラフィマージン未達パターンは、転写像の計算結果から得る場合のほか、回路の接続情報や段差シミュレーションの計算結果からも得ることができる。また、転写像の計算結果および回路の接続情報、段差シミュレーションの計算結果の複数から得るようにしてもよい。
その後、形状チェックおよびリソグラフィマージン未達パターンの検証結果を保持し、遅延計算ツールによって回路の信号遅延を計算する(ステップS204)。
その結果、タイミングエラーが発生し、リピータ挿入が必要であると判断すると、先に保持していた形状チェック、リソグラフィマージン未達パターンの情報および回路接続情報とを合わせてリピータ挿入箇所を抽出し、リピータを挿入する(ステップS205)。
リピータの挿入箇所は第1実施形態と同様、図3に示す矢印の位置となる。また、リピータ挿入後の物理レイアウトに対してレイアウト検証を行って(ステップS206)、新たにリソグラフマージン未達箇所が発生していないことを確認し、静的タイミング解析(ステップS206)を行う。ここで、レイアウト検証(ステップS206)に関しては不必要であれば省略することができる。処理が不必要な場合とは、物理レイアウトの変更がわずかで、明らかに新たに発生するリソグラフィマージン未達パターンがないと判断できる場合である。
第2実施形態では、第1実施形態で必要であった形状チェックの結果および回路の接続情報のRC抽出ツールへの入力が不要となるため、第1実施形態に比べて処理効率を向上させることが可能となる。つまり、RC抽出ツール内でリソグラフィ検証を行うことにより、余分なデータ入出力時間を割愛して処理時間を短縮することが可能となる。
なお、本実施形態において、上記説明したOPC、OPC検証の条件は製造対象となる半導体集積回路に応じて各々設定することができる。また、形状チェックはデザインルールチェック方式のほか、レイアウトおよびウエハ画像分析、膜厚分布等によるものでもよい。また、本実施形態で使用したリピータ挿入のレイアウトの例は回路図面の一例に過ぎない。
さらに、本実施形態では長配線長箇所でかつリソグラフィマージン未達パターンに選択的にリピータを挿入したが、この条件に限られるものではない。例えば、図6に示すように、リソグラフィマージン未達パターン、長配線長箇所、クリティカルパスという3つの条件のうち、少なくとも2つが重なる条件を満たす箇所にリピータを挿入するよう、目的に応じて設定してもよい。
また、本実施形態では、形状チェックとして、半導体集積回路の物理レイアウトの図形データから予め設定された長さ以上となる長配線長箇所を抽出する例を説明したが、これ以外の形状チェックとして、物理レイアウトの断面構造から配線の段差を検出し、この段差が予め設定された値以上となる配線の箇所を抽出し、リピータ挿入箇所の候補とするようにしてもよい。
<第3実施形態>
次に、本発明の半導体装置の製造方法に係る第3実施形態を説明する。第3実施形態は、先に説明した第1実施形態における形状チェック、OPC、OPC検証および遅延計算によるタイミングエラーチェックの処理を配置配線ツール(配置配線システム)で処理する点に特徴がある。
図5は、第3実施形態に係る半導体装置の製造方法を説明するフローチャートである。先ず、配置配線ツールにおいて、概略配線(ステップS301)、詳細配線(ステップS302)の処理によって生成した物理レイアウトの図形データ(GDSフォーマットのデータ)をマスクデータ処理へ送る。
次いで、同じ配置配線ツールにおいて、形状チェックを行い、長配線長の図形を抽出するとともに、RC抽出を行う(ステップS303)。形状チェックでは、詳細配線後の物理レイアウトの図形データから予め設定された長さ以上となる長配線長箇所を抽出する。抽出された箇所の情報は、物理レイアウト上の座標として保持される。
また、配置配線ツールは、物理レイアウトの図形データからOPC、OPC検証を行い、リソグラフィマージン未達パターンの抽出を行う。OPC、OPC検証の条件およびリソグラフィマージンチェックの内容は先に説明した第1実施形態と同じでよい。なお、リソグラフィマージン未達パターンは、転写像の計算結果から得る場合のほか、回路の接続情報や段差シミュレーションの計算結果からも得ることができる。また、転写像の計算結果および回路の接続情報、段差シミュレーションの計算結果の複数から得るようにしてもよい。
ここまでの処理で、形状チェックの結果、特性上の仕様を満たさない配線長箇所かつリソグラフィマージン未達パターンを抽出し、その情報を保持する。
次に、配置配線ツールは、先に生成した物理レイアウトの図形データまたはOPC検証で算出した転写像のデータを用いて容量値および抵抗値の算出を行う。その後、こうして算出した容量値および抵抗値を使用して、配置配線システムに内蔵された遅延計算処理部で遅延計算を行う(ステップS304)。
その結果、タイミングエラーが発生し、リピータ挿入が必要であると判断すると、先に保持していた形状チェック、リソグラフィマージン未達パターンの情報および回路の接続情報を参照して、リピータ挿入箇所を抽出し、リピータを挿入する(ステップS405)。リピータの挿入では、配置配線等のフロアプランの制約を違反しない範囲でバッファ等の素子を分割した配線間に挿入する。
リピータの挿入箇所は第1実施形態と同様、図3に示す矢印の位置となる。また、リピータ挿入後の物理レイアウトに対してレイアウト検証を行って(ステップS306)、新たにリソグラフマージン未達箇所が発生していないことを確認する。ここで、レイアウト検証(ステップS306)に関しては不必要であれば省略することができる。処理が不必要な場合とは、物理レイアウトの変更がわずかで、明らかに新たに発生するリソグラフィマージン未達パターンがないと判断できる場合である。
以上の処理までを配置配線ツールで行い、続いてRC抽出(ステップS307)、遅延計算(ステップS308)、静的タイミング解析(ステップS309)を行う。配置配線ツール内での処理によってリピータ挿入を行っていることから、それ以降の処理ではリピータ挿入の必要な箇所は発生しない。
なお、本実施形態では、遅延計算によるタイミングエラーチェックの処理(ステップS304)を配置配線ツールに内蔵された遅延計算処理部で計算しているが、この遅延計算は多くの計算時間を必要とし、全体の処理時間を増大させる場合もある。そのような場合は、あらかじめ容量および抵抗値と遅延時間との関係を求めておき、容量および抵抗値に関する制約を作成しておく。すなわち、配置配線ツールで詳細配線後の図形データから容量・抵抗を算出する際に、配線幅、スペース幅に関するデザインルール、または容量値、抵抗値に関するルールを入れておく。そして、配置配線ツールの容量、抵抗計算時に上記ルールを読み込み、概要箇所の座標を配置配線データベースに出力する。このようにすれば、形状チェックを行うのみでステップS304の遅延計算は必要がない。
このような本実施形態では、配置配線ツール内で主要な処理の多くを実行することから、データの入出力の手間が省け処理時間を削減することが可能である。
なお、本実施形態において、上記説明したOPC、OPC検証の条件は製造対象となる半導体集積回路に応じて各々設定することができる。また、形状チェックはデザインルールチェック方式のほか、レイアウトおよびウエハ画像分析、膜厚分布等によるものでもよい。また、本実施形態で使用したリピータ挿入のレイアウトの例は回路図面の一例に過ぎない。
さらに、本実施形態では長配線長箇所でかつリソグラフィマージン未達パターンに選択的にリピータを挿入したが、この条件に限られるものではない。例えば、図6に示すように、リソグラフィマージン未達パターン、長配線長箇所、クリティカルパスという3つの条件のうち、少なくとも2つが重なる条件を満たす箇所にリピータを挿入するよう、目的に応じて設定してもよい。
また、本実施形態では、形状チェックとして、半導体集積回路の物理レイアウトの図形データから予め設定された長さ以上となる長配線長箇所を抽出する例を説明したが、これ以外の形状チェックとして、物理レイアウトの断面構造から配線の段差を検出し、この段差が予め設定された値以上となる配線の箇所を抽出し、リピータ挿入箇所の候補とするようにしてもよい。
<適用例>
上記説明した実施形態に係る処理は、コンピュータで実行されるプログラム(半導体装置の製造プログラム)として実現可能である。すなわち、(a)半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップ、(b)物理レイアウトの転写像計算、配線の段差計算を行うステップ、(c)物理レイアウトに基づく信号遅延の計算を行い、この信号遅延が予め設定された仕様を満たさない配線を求めるステップ、(d)上記仕様を満たさない配線について、図形の情報および転写像計算、段差計算の結果に基づきリピータの挿入箇所を設定するステップをコンピュータによって実行させるものである。
このうち、(a)のステップは、図1、図4、図5に示す概略配線(ステップS101、S201、S301)および詳細配線(ステップS102、S202、S302)に対応し、(b)のステップは、図1、図4、図5に示す形状チェック、OPC、OPC検証(ステップS104、S203、S303)に対応し、(c)のステップは、図1、図4、図5に示す遅延計算(ステップS106、S204、S304)に対応し、(d)のステップは、図1、図4、図5に示すレイアウト考慮リピータ挿入(ステップS107、S205、S305)に対応する。
本実施形態の半導体装置の製造プログラムを構成する各ステップは、個別のモジュールとして用意されていても、一つのモジュールに複数のステップが組み込まれた構成でもよい。例えば、半導体装置の製造における設計支援プログラムでは、先に説明した配置配線ツール(配置配線システム)、RC抽出ツール(RC抽出システム)、遅延計算ツール(遅延計算システム)等の各種ツールを組み合わせた構成、または各種ツールを必要に応じて読み出す構成となっている。各ステップを利用可能なモジュールは、ローカルなコンピュータの記憶手段に格納され、必要に応じて実行されたり、ネットワークに接続されたサーバに格納され、必要に応じてサーバにアクセスして実行されたりする。
これらのステップを含む処理をプログラムとしてコンピュータで実行することにより、本実施形態の特徴であるリソグラフィマージンを考慮したリピータの挿入を行うことが可能となる。
なお、本実施形態に係る処理を備えたプログラムは、コンピュータで実行されるほか、所定の媒体(CD、DVD等)に格納した状態で流通したり、ネットワークを介して配信されるものである。
また、本発明は、上記説明した実施形態に係る処理を備えたプログラムを実行するのに有利が構成から成るコンピュータシステム(半導体装置の製造システム)としても実現可能である。この半導体装置の製造システムでは、本実施形態に係るプログラムの各種ステップを実行するのに適したハードウェアを備えている。例えば、各種ステップを迅速に処理するためのCPU、処理を行うにあたり十分な容量を備えたメモリ、各種データを格納する記憶手段、その他、ディスプレイ、入出力インタフェースを備えた構成となっている。
この半導体装置の製造システムでは、予め本実施形態に係る半導体装置の製造プログラムが組み込まれていたり、媒体やネットワークを介して外部からインストールされ、上記説明した特徴的な処理を実行できるようになっている。
特に、本実施形態の半導体装置の製造システムでは、配置配線ツール(配置配線システム)、RC抽出ツール(RC抽出システム)、遅延計算ツール(遅延計算システム)等の各種ツール(システム)が必要に応じて組み合わせた設計支援システムとなっており、これらのツールが個別に組み込まれていて、必要なツールを利用する構成であったり、個別のツールへのアクセスを容易にした統合ソフトウェアが組み込まれていて、統合ソフトウェアのインタフェースから各種ツールを読み出して利用する構成であったりする。
第1実施形態に係る半導体装置の製造方法を説明するフローチャートである。 形状チェックの結果について説明する図である。 本実施形態におけるリピータ挿入位置について説明する模式図である。 第2実施形態に係る半導体装置の製造方法を説明するフローチャートである。 第3実施形態に係る半導体装置の製造方法を説明するフローチャートである。 各種条件の重なりについて説明する図である。 従来の製造方法を説明するフローチャートである。 従来の製造方法で挿入する場合のリピータ挿入箇所を示す図である。
符号の説明
P…配線パターン、P’…長配線、P1…ライン

Claims (16)

  1. 半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、
    前記物理レイアウトの転写像計算を行う工程と、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求める工程と、
    前記仕様を満たさない配線について、前記図形の情報および前記転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定する工程と
    を備えることを特徴とする半導体装置の製造方法。
  2. 半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、
    前記物理レイアウトの転写像計算および段差計算を行う工程と、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求める工程と、
    前記仕様を満たさない配線について、前記図形の情報および前記段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定する工程と
    を備えることを特徴とする半導体装置の製造方法。
  3. 半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、
    前記物理レイアウトの転写像計算を行う工程と、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求める工程と、
    前記仕様を満たさない配線の情報を容量抽出システムに送り、当該容量抽出システムにて前記仕様を満たさない配線の情報に基づきリピータの挿入箇所を設定する工程と
    を備えることを特徴とする半導体装置の製造方法。
  4. 半導体集積回路の物理レイアウトを構成する図形の情報を取得する工程と、
    前記物理レイアウトの転写像計算および段差計算を行う工程と、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求める工程と、
    前記仕様を満たさない配線の情報を配置配線システムに送り、当該配置配線システムにて前記仕様を満たさない配線の情報に基づきリピータの挿入箇所を設定する工程と
    を備えることを特徴とする半導体装置の製造方法。
  5. 前記リピータの挿入箇所は、前記図形の情報および前記転写像計算の結果に関する少なくとも一つについて予め設定されたリソグラフィ許容範囲を満たさない箇所である
    ことを特徴とする請求項1、3、4のうちいずれか1項に記載の半導体装置の製造方法。
  6. 前記リピータの挿入箇所は、前記図形の情報、転写像計算の結果、および前記段差計算の結果に関する少なくとも一つについて予め設定されたリソグラフィ許容範囲を満たさない箇所である
    ことを特徴とする請求項2または4に記載の半導体装置の製造方法。
  7. 前記仕様は、配線幅、配線長、前記半導体集積回路の素子を構成する金属膜厚、および層間絶縁膜の膜厚のうち少なくとも1つに関する許容値である
    ことを特徴とする請求項1から4のうちいずれか1項に記載の半導体装置の製造方法。
  8. 前記仕様を満たさない配線の情報は、前記物理レイアウト上の座標値である
    ことを特徴とする請求項1から4のうちいずれか1項に記載の半導体装置の製造方法。
  9. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算を行うステップと、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線について、前記図形の情報および前記転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    をコンピュータによって実行させることを特徴とする半導体装置の製造プログラム。
  10. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算を行うステップと、
    前記物理レイアウトの段差計算を行うステップと、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線について、前記図形の情報、転写像計算、および前記段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    をコンピュータによって実行させることを特徴とする半導体装置の製造プログラム。
  11. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算を行うステップと、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線の情報を容量抽出システムに送り、当該容量抽出システムで前記仕様を満たさない配線について、前記図形の情報および前記転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    をコンピュータによって実行させることを特徴とする半導体装置の製造プログラム。
  12. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算および段差計算の少なくとも一方を行うステップと、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線の情報を配置配線システムに送り、当該配置配線システムで前記仕様を満たさない配線について、前記図形の情報、前記転写像計算および前記段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    をコンピュータによって実行させることを特徴とする半導体装置の製造プログラム。
  13. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算および段差計算の少なくとも一方を行うステップと
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線について、前記図形の情報および前記転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    を実行するコンピュータを含むことを特徴とする半導体装置の製造システム。
  14. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算を行うステップと、
    前記物理レイアウトの段差計算を行うステップと、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線について、前記図形の情報、転写像計算、および前記段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    を実行するコンピュータを含むことを特徴とする半導体装置の製造システム。
  15. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算、および段差計算の少なくとも一方を行うステップと、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線の情報を容量抽出システムに送り、当該容量抽出システムで前記仕様を満たさない配線の情報について、前記図形の情報および前記転写像計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    を実行するコンピュータを含むことを特徴とする半導体装置の製造システム。
  16. 半導体集積回路の物理レイアウトを構成する図形の情報を取得するステップと、
    前記物理レイアウトの転写像計算および段差計算を行うステップと、
    前記物理レイアウトに基づく信号遅延の計算を行い、当該信号遅延が予め設定された仕様を満たさない配線を求めるステップと、
    前記仕様を満たさない配線の情報を配置配線システムに送り、当該配置配線システムで前記仕様を満たさない配線について、前記図形の情報、前記転写像計算および前記段差計算のうち少なくとも一つの結果に基づきリピータの挿入箇所を設定するステップと
    を実行するコンピュータを含むことを特徴とする半導体装置の製造システム。
JP2008013494A 2008-01-24 2008-01-24 半導体装置の設計プログラムおよび半導体装置の設計システム Active JP4938696B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2008013494A JP4938696B2 (ja) 2008-01-24 2008-01-24 半導体装置の設計プログラムおよび半導体装置の設計システム
TW097149923A TW200941267A (en) 2008-01-24 2008-12-19 Manufacturing method, manufacturing program and manufacturing system for semiconductor device
KR1020090000780A KR20090082107A (ko) 2008-01-24 2009-01-06 반도체 장치의 제조 방법, 반도체 장치의 제조 프로그램 및반도체 장치의 제조 시스템
US12/355,216 US8185856B2 (en) 2008-01-24 2009-01-16 Manufacturing method, manufacturing program and manufacturing system for adjusting signal delay in a semiconductor device
CN2009100010036A CN101494162B (zh) 2008-01-24 2009-01-16 半导体装置的制造方法、制造程序及制造系统
US13/288,247 US8381160B2 (en) 2008-01-24 2011-11-03 Manufacturing method, manufacturing program and manufacturing system for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008013494A JP4938696B2 (ja) 2008-01-24 2008-01-24 半導体装置の設計プログラムおよび半導体装置の設計システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011014400A Division JP5035434B2 (ja) 2011-01-26 2011-01-26 半導体装置の設計支援プログラムおよび半導体装置の設計システム

Publications (2)

Publication Number Publication Date
JP2009176012A true JP2009176012A (ja) 2009-08-06
JP4938696B2 JP4938696B2 (ja) 2012-05-23

Family

ID=40900499

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008013494A Active JP4938696B2 (ja) 2008-01-24 2008-01-24 半導体装置の設計プログラムおよび半導体装置の設計システム

Country Status (5)

Country Link
US (2) US8185856B2 (ja)
JP (1) JP4938696B2 (ja)
KR (1) KR20090082107A (ja)
CN (1) CN101494162B (ja)
TW (1) TW200941267A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012063886A (ja) * 2010-09-14 2012-03-29 Ricoh Co Ltd 半導体集積回路の自動配置配線方法、レイアウト装置、自動配置配線プログラム、及び半導体集積回路

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8037438B2 (en) * 2009-02-27 2011-10-11 International Business Machines Corporation Techniques for parallel buffer insertion
US8549458B2 (en) * 2009-11-09 2013-10-01 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by sidewall-image transfer
US8782577B2 (en) 2010-07-24 2014-07-15 Cadence Design Systems, Inc. Method, apparatus, and article of manufacture for providing in situ, customizable information in designing electronic circuits with electrical awareness
US8689169B2 (en) 2010-07-24 2014-04-01 Cadence Design Systems, Inc. Method, apparatus, and article of manufacture for providing in situ, customizable information in designing electronic circuits with electrical awareness
US8984464B1 (en) * 2011-11-21 2015-03-17 Tabula, Inc. Detailed placement with search and repair
US9690897B2 (en) * 2014-02-27 2017-06-27 Nxp Usa, Inc. Efficient extraction for colorless multi patterning
KR102402673B1 (ko) 2017-04-28 2022-05-26 삼성전자주식회사 Beol의 공정 변이를 고려하여 집적 회로를 설계하기 위한 컴퓨터 구현 방법 및 컴퓨팅 시스템
CN117272924A (zh) 2017-04-28 2023-12-22 三星电子株式会社 设计集成电路的方法
JP6884059B2 (ja) * 2017-07-18 2021-06-09 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
CN108009352A (zh) * 2017-11-30 2018-05-08 上海华力微电子有限公司 一种光刻版图的填充流程及光刻掩膜的设计方法
US10599805B2 (en) 2017-12-01 2020-03-24 International Business Machines Corporation Superconducting quantum circuits layout design verification
US10592814B2 (en) 2017-12-01 2020-03-17 International Business Machines Corporation Automatic design flow from schematic to layout for superconducting multi-qubit systems

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001265843A (ja) * 2000-01-11 2001-09-28 Fujitsu Ltd 集積回路におけるリピータ挿入装置、方法、記録媒体およびプログラム
JP2003007828A (ja) * 2001-06-26 2003-01-10 Toshiba Corp 集積回路のレイアウト設計方法
JP2004279997A (ja) * 2003-03-19 2004-10-07 Toshiba Corp エッジ位置ずれ量の算出方法、検証方法、検証プログラム、及び検証システム。
JP2006126745A (ja) * 2004-11-01 2006-05-18 Toshiba Corp 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
JP2006318978A (ja) * 2005-05-10 2006-11-24 Toshiba Corp パターン設計方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3001403B2 (ja) * 1995-09-29 2000-01-24 日本電気株式会社 論理回路のレイアウト方法
US6009253A (en) * 1996-06-20 1999-12-28 Sun Microsystems, Inc. Spare repeater amplifiers for long lines on complex integrated circuits
JPH10163330A (ja) * 1996-12-03 1998-06-19 Nec Corp レイアウト考慮遅延最適化装置および方法
JP3288269B2 (ja) 1997-07-22 2002-06-04 エヌイーシーマイクロシステム株式会社 ゲートアレイの自動配置配線方法
US6588001B1 (en) * 2000-08-31 2003-07-01 Micron Technology, Inc. Method for inserting repeater cells in a deep sub-micron design
JP2002134619A (ja) * 2000-10-26 2002-05-10 Sony Corp 集積回路の設計方法、その設計装置、および記録媒体
JP3906035B2 (ja) * 2001-03-29 2007-04-18 株式会社東芝 半導体製造装置の制御方法
JP2003132111A (ja) * 2001-10-25 2003-05-09 Matsushita Electric Ind Co Ltd リピータセル配置方法
JP2003133211A (ja) 2001-10-26 2003-05-09 Canon Inc デバイス製造装置およびその温調制御方法
CN1279480C (zh) * 2002-12-17 2006-10-11 清华大学 考虑耦合效应进行时延优化的标准单元总体布线方法
US7069527B1 (en) * 2005-08-24 2006-06-27 Sun Microsystems, Inc. Algorithm for full-chip resistance extraction

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001265843A (ja) * 2000-01-11 2001-09-28 Fujitsu Ltd 集積回路におけるリピータ挿入装置、方法、記録媒体およびプログラム
JP2003007828A (ja) * 2001-06-26 2003-01-10 Toshiba Corp 集積回路のレイアウト設計方法
JP2004279997A (ja) * 2003-03-19 2004-10-07 Toshiba Corp エッジ位置ずれ量の算出方法、検証方法、検証プログラム、及び検証システム。
JP2006126745A (ja) * 2004-11-01 2006-05-18 Toshiba Corp 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
JP2006318978A (ja) * 2005-05-10 2006-11-24 Toshiba Corp パターン設計方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012063886A (ja) * 2010-09-14 2012-03-29 Ricoh Co Ltd 半導体集積回路の自動配置配線方法、レイアウト装置、自動配置配線プログラム、及び半導体集積回路

Also Published As

Publication number Publication date
CN101494162B (zh) 2012-05-30
KR20090082107A (ko) 2009-07-29
US8185856B2 (en) 2012-05-22
US8381160B2 (en) 2013-02-19
TW200941267A (en) 2009-10-01
JP4938696B2 (ja) 2012-05-23
US20120054705A1 (en) 2012-03-01
US20090193375A1 (en) 2009-07-30
CN101494162A (zh) 2009-07-29

Similar Documents

Publication Publication Date Title
JP4938696B2 (ja) 半導体装置の設計プログラムおよび半導体装置の設計システム
JP5242103B2 (ja) 半導体集積回路のレイアウト方法
US8037428B2 (en) Method and system for post-routing lithography-hotspot correction of a layout
CN107066681B (zh) 集成电路和制造集成电路的计算机实现方法
US7784020B2 (en) Semiconductor circuit pattern design method for manufacturing semiconductor device or liquid crystal display device
US8527930B2 (en) Generating and using route fix guidance
JP4530049B2 (ja) 半導体装置の設計プログラムおよび半導体装置の設計システム
US20070101303A1 (en) Method and apparatus for integrated circuit layout optimization
US20060101367A1 (en) Design method of semiconductor device and semiconductor device
JP2006178498A (ja) 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置
US20230401370A1 (en) Method, system and computer program product for integrated circuit design
US10192019B2 (en) Separation and minimum wire length constrained maze routing method and system
JP2009282319A (ja) パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法
JP2002131882A (ja) マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
US7216325B2 (en) Semiconductor device, routing method and manufacturing method of semiconductor device
US10002222B2 (en) System and method for perforating redundant metal in self-aligned multiple patterning
US20150143317A1 (en) Determination Of Electromigration Features
JP2006093631A (ja) 半導体集積回路の製造方法および半導体集積回路の製造装置
US10733354B2 (en) System and method employing three-dimensional (3D) emulation of in-kerf optical macros
US20060039596A1 (en) Pattern measuring method, pattern measuring apparatus, photo mask manufacturing method, semiconductor device manufacturing method, and computer program product
JP5035434B2 (ja) 半導体装置の設計支援プログラムおよび半導体装置の設計システム
US7958482B2 (en) Stitched circuitry region boundary identification for stitched IC chip layout
CN111125984A (zh) 集成电路设计方法
KR20170094744A (ko) 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법
JP2009026045A (ja) 半導体集積回路のレイアウト作成装置および半導体集積回路の製造方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20091009

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091106

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091215

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100208

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100518

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100716

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101026

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120223

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150302

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4938696

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250