JP2009147308A - Metal organic chemical vapor deposition device - Google Patents

Metal organic chemical vapor deposition device Download PDF

Info

Publication number
JP2009147308A
JP2009147308A JP2008269386A JP2008269386A JP2009147308A JP 2009147308 A JP2009147308 A JP 2009147308A JP 2008269386 A JP2008269386 A JP 2008269386A JP 2008269386 A JP2008269386 A JP 2008269386A JP 2009147308 A JP2009147308 A JP 2009147308A
Authority
JP
Japan
Prior art keywords
susceptor
lid
vapor deposition
chemical vapor
organic chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008269386A
Other languages
Japanese (ja)
Other versions
JP4879245B2 (en
Inventor
Won Shin Lee
シン リー、ウォン
Jong Pa Hong
パ ホン、ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electro Mechanics Co Ltd
Original Assignee
Samsung Electro Mechanics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electro Mechanics Co Ltd filed Critical Samsung Electro Mechanics Co Ltd
Publication of JP2009147308A publication Critical patent/JP2009147308A/en
Application granted granted Critical
Publication of JP4879245B2 publication Critical patent/JP4879245B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Abstract

<P>PROBLEM TO BE SOLVED: To provide a metal organic chemical vapor deposition device which can fundamentally suppress occurrence of thermal convection flow caused by a difference of higher and lower temperatures in a chamber. <P>SOLUTION: The device includes: a reaction chamber 110; heating sections 131, 132 provided with upper and lower susceptors 121, 122 in upper and lower covers 111, 112, and arranged between the upper cover 111 and the upper susceptor 121, and between the lower cover 112 and the lower susceptor 122, respectively; a rotation drive section 140 which supplies energy for rotating the upper and lower susceptors 121, 122 in one direction around upper and lower hollow shafts as a rotation center; a gas supply section 150 which supplies reaction gas to a space between corresponding surfaces of the upper and lower susceptors 121, 122 opposed to each other by means of a central gas supply nozzle 153 coupled to the upper and lower hollow shafts and connecting those hollow shafts; and a gas evacuation section 160 which is disposed in contact with outer frames of the upper and lower covers 111, 112 and connected to the inner space of the reaction chamber 110 and evacuates reaction gas after completion of the reaction with a wafer. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は対向するよう配置されたウェーハの蒸着面に成長層を同時に形成することができるよう改善された金属有機化学気相蒸着装置に関するものである。   The present invention relates to a metal organic chemical vapor deposition apparatus improved so that a growth layer can be simultaneously formed on vapor deposition surfaces of wafers arranged to face each other.

一般的に化学気相蒸着(Chemical Vapor Deposition;CVD)は様々な基板上に多様な結晶膜を成長させることに主な方法として使用されており、これは液相成長法に比べ、成長させた結晶の品質は優れているが、結晶の成長速度が遅いという短所がある。これを克服するために一回の成長サイクルで複数枚の基板上に同時に成長を行う方法が広く採択されている。   In general, chemical vapor deposition (CVD) is used as a main method for growing various crystal films on various substrates, which is grown as compared with the liquid phase growth method. Although the quality of the crystal is excellent, there is a disadvantage that the crystal growth rate is slow. In order to overcome this, a method of simultaneously growing on a plurality of substrates in one growth cycle has been widely adopted.

最近、半導体素子の微細化と高効率、高出力LED開発等でCVD技術のうち、金属有機化学気相蒸着法(Metal Organic Chemical Vapor Deposition;MOCVD)が脚光を浴びており、このようなMOCVDは化学気相成長法(CVD)中の一つで有機金属の熱分解反応を利用して半導体基板上に金属化合物を堆積、付着させる化合物半導体の気相成長法をいう。   Recently, metal organic chemical vapor deposition (MOCVD) is in the spotlight among the CVD technologies for semiconductor element miniaturization, high efficiency, and high power LED development. One of chemical vapor deposition methods (CVD) is a compound semiconductor vapor deposition method in which a metal compound is deposited and deposited on a semiconductor substrate using a pyrolysis reaction of an organic metal.

図1は、一般的な金属有機化学気相蒸着装置を図示した構成図で、このような装置10は一定大きさの内部空間を有するチャンバ11と、蒸着対象物であるウェーハ2が乗せられる多数のポケット12aを備えるサセプタ(suscepter)12と、上記サセプタ12の下部に配置され熱を提供するヒーター13と、上記サセプタ12と連結される駆動軸17aを有し回転動力を提供する回転モーター17と、上記チャンバ11内部に反応ガスを供給するガス流入口14及び反応が終了した廃反応ガスを外部排出するガス排気口15を含んで構成される。   FIG. 1 is a block diagram illustrating a general metal organic chemical vapor deposition apparatus. Such an apparatus 10 has a chamber 11 having an internal space of a certain size and a number of wafers 2 to be deposited. A susceptor 12 having a pocket 12a, a heater 13 disposed below the susceptor 12 to provide heat, a rotary motor 17 having a drive shaft 17a connected to the susceptor 12 and providing rotational power. The gas inlet 14 for supplying the reaction gas to the inside of the chamber 11 and the gas exhaust port 15 for discharging the waste reaction gas after the reaction is discharged.

このような装置10は、上記ガス流入口14の下部端に備えられたノズル16が上記チャンバ内部の中央に配置され、上記ノズル16のノズル穴16aを通じ反応ガスであるソースガス(source gas)及びキャリアガス(carrier gas)が上記チャンバ11内部の中央に供給される。   In the apparatus 10, a nozzle 16 provided at a lower end of the gas inlet 14 is disposed in the center of the chamber, and a source gas which is a reaction gas through a nozzle hole 16 a of the nozzle 16, and A carrier gas is supplied to the center inside the chamber 11.

このような反応ガスは、上記サセプタ12にローディングされたウェーハ2の上部面と接触すると同時に上記ウェーハ2はヒーター13から提供される輻射熱により加熱されるサセプタ12を通じ高温で加熱される。これにより、上記反応ガスは高い温度のウェーハ2の蒸着面である上部面で化学的蒸着反応をしながら上記ウェーハ2の表面に窒化物成長層を形成し、反応が終了した廃反応ガスは副産物と共に排気口15を通じ外部排出される。   Such a reactive gas contacts the upper surface of the wafer 2 loaded on the susceptor 12, and at the same time, the wafer 2 is heated at a high temperature through the susceptor 12 heated by the radiant heat provided from the heater 13. As a result, the reactant gas forms a nitride growth layer on the surface of the wafer 2 while performing a chemical vapor deposition reaction on the upper surface, which is the vapor deposition surface of the wafer 2 at a high temperature. At the same time, it is discharged outside through the exhaust port 15.

しかし、従来の金属有機化学気相蒸着装置においては、特にInGaN系成長層を形成する場合、成長温度は通常700〜800℃程度に高く、上記ウェーハ2の上部表面である成長面と上記チャンバ11の天井面間の温度差が大きいため、上記チャンバ11内部で反応ガスが上部に上昇する熱帯流Aが発生し、これにより成長層の組成が不均一になるか、化学的蒸着反応が円滑にならなくなる。   However, in the conventional metal organic chemical vapor deposition apparatus, particularly when an InGaN-based growth layer is formed, the growth temperature is usually as high as about 700 to 800 ° C., and the growth surface which is the upper surface of the wafer 2 and the chamber 11 are formed. Because of the large temperature difference between the ceiling surfaces, a tropical flow A in which the reaction gas rises upward is generated inside the chamber 11, which causes the composition of the growth layer to become nonuniform or facilitates the chemical vapor deposition reaction. No longer.

また、成長温度が1000℃と高温になると上記チャンバ11内部における熱帯流Aがさらに激しくなり、 窒素脱離によりGaNの膜質が不均一になったり、GaN:Mg成長時にMgのドーピングプロファイル(Doping Profile)が不均一になるなどの問題を引き起こす。   Further, when the growth temperature is as high as 1000 ° C., the tropical flow A inside the chamber 11 becomes more intense, and the GaN film quality becomes non-uniform due to nitrogen desorption, or the Mg doping profile (Doping Profile during GaN: Mg growth). ) Causes non-uniformity.

これにより、従来はこのような問題を解決するために反応ガスの流速を増加させるか、反応ガスを供給する方法を変更しウェーハに形成される成長層の不均一を解決しようとした。しかし、このような方法は成長圧力や反応ガスの供給比率、反応ガスの供給ノズルの形状を変化させると成長膜の組成の均一性が崩れやすく、プロセスウィンドウ(Process Window)が狭くなる問題があった。   Thus, conventionally, in order to solve such a problem, the flow rate of the reaction gas is increased, or the method of supplying the reaction gas is changed to solve the nonuniformity of the growth layer formed on the wafer. However, such a method has a problem that if the growth pressure, the reaction gas supply ratio, and the shape of the reaction gas supply nozzle are changed, the uniformity of the composition of the growth film tends to be lost and the process window is narrowed. It was.

また、反応ガスの流速に関しては、例えば0.5m/s、200Torrで均一な条件が得られていても、反応ガスの供給圧力を400Torrにすると反応ガスの流速が0.25m/sに低下し成長膜の組成均一性が乱れる場合があった。   In addition, regarding the flow rate of the reaction gas, for example, even if uniform conditions are obtained at 0.5 m / s and 200 Torr, the reaction gas flow rate decreases to 0.25 m / s when the reaction gas supply pressure is 400 Torr. In some cases, the composition uniformity of the grown film was disturbed.

しかし、同じ流速を維持するためには流量を2倍にするか、サセプタ12の上部面とチャンバ11の天井面間の間隔を1/2にする必要があり、MFC(Mass Flow Controller)を予め大流量対応にしておくか、装置のハード的な変更が必要になり煩雑である。   However, in order to maintain the same flow rate, it is necessary to double the flow rate or to halve the distance between the upper surface of the susceptor 12 and the ceiling surface of the chamber 11, and MFC (Mass Flow Controller) is set in advance. It is complicated because it requires a large flow rate or requires hardware changes.

そして、反応ガスを供給する方法を改善して成長層の均一性を確保しようとする場合、通常AlInGaN成長に対しては3族ガスとして有機金属(MO)が使用され、5族ガスとしてはNH3が使用され、キャリアガス(Carrier Gas)としてはN2、H2が使用されるが、相対的に流量が多いNH3の比率を変化させると3族ガスの組成均一性も乱れる場合が多いため、結晶性と均一性を両立させるためのプロセスウィンドウ(Process Window)は制限される。   When the method of supplying the reaction gas is improved to ensure the uniformity of the growth layer, organic metal (MO) is usually used as the group 3 gas for AlInGaN growth, and NH3 as the group 5 gas. N2 and H2 are used as the carrier gas (Carrier Gas), but the composition uniformity of the Group 3 gas is often disturbed when the ratio of NH3 having a relatively high flow rate is changed. The process window for achieving both uniformity and uniformity is limited.

このような現象は、チャンバの内部で発生する熱帯流が主な原因であると考えることができ、熱帯流が発生しにくい反応炉を設計することにより均一性の確保が容易な広いプロセスウィンドウ(Process Window)を有する反応炉を得ることができる。   This phenomenon can be attributed mainly to the tropical current generated inside the chamber, and by designing a reactor that is unlikely to generate tropical current, a wide process window that facilitates ensuring uniformity ( A reactor having a Process Window) can be obtained.

従って、本発明は上記の問題点を解決するために案出したもので、その目的は化学気相蒸着反応時にチャンバ内部の上下温度差による熱帯流の発生を根本的に抑える金属有機化学気相蒸着装置を提供することにある。   Accordingly, the present invention has been devised to solve the above-mentioned problems, and its purpose is a metal organic chemical vapor phase that fundamentally suppresses the generation of tropical currents due to the temperature difference inside the chamber during the chemical vapor deposition reaction. It is to provide a vapor deposition apparatus.

本発明の他の目的は、高温環境でも反応ガスの流れを層流で安定的に形成し、ウェーハを同じ温度で加熱しようとする金属有機化学気相蒸着装置を提供することにある。   Another object of the present invention is to provide a metal organic chemical vapor deposition apparatus that stably forms a flow of a reaction gas in a laminar flow even in a high temperature environment and heats a wafer at the same temperature.

本発明のさらに他の目的は、対向するように配置されたウェーハに成長層を同時に成膜しながら有機金属原料の利用効率を高めようとする金属有機化学気相蒸着装置を提供することにある。   Still another object of the present invention is to provide a metal organic chemical vapor deposition apparatus that increases the utilization efficiency of an organic metal raw material while simultaneously forming a growth layer on wafers arranged to face each other. .

上記の目的を達成するための具体的な技術的手段として、本発明は下部に開放された上部蓋と上部に開放された下部蓋を備え上下を合型する時に一定大きさの内部空間を形成する反応チャンバと、上記上、下部蓋に備えられる上、下部中空軸に夫々回転可能に組み立てられる上、下部サセプタを備え対向する上、下部サセプタの対応面に少なくとも一つ以上のウェーハが配置されるウェーハ配置部と、上記上部蓋と上部サセプタ間に備えられる上部ヒーターと、上記下部蓋と副サセプタ間に備えられる下部ヒーターを備え、上記上、下部中空軸を回転中心にし上、下部サセプタを回転させる動力を提供する回転駆動部と、上記上、下部中空軸に連結される上、下部ガス供給口を備え、上記上、下部中空軸の間を連結する中央ガス供給ノズルを通じ対向する上、下部サセプタの対応面の間に反応ガスを供給するガス供給部と、上記上、下部蓋の外枠に接するように配置され、上記反応チャンバの内部空間と連結され反応ガスを外部に排出するガス排気部と、を含む金属有機化学気相蒸着装置を提供する。   As a concrete technical means for achieving the above object, the present invention comprises an upper lid opened at the lower part and a lower lid opened at the upper part to form a fixed internal space when the upper and lower parts are combined. A reaction chamber, and an upper and lower lid, and a lower hollow shaft that is rotatably assembled to each other, a lower susceptor and an opposing upper surface, and at least one wafer disposed on a corresponding surface of the lower susceptor. A wafer placement unit, an upper heater provided between the upper lid and the upper susceptor, and a lower heater provided between the lower lid and the sub susceptor. A rotation drive unit that provides power to rotate; a central gas supply nozzle that is connected to the upper and lower hollow shafts and includes a lower gas supply port; and connects between the upper and lower hollow shafts. And a gas supply unit for supplying a reaction gas between corresponding surfaces of the lower susceptor, and an outer frame of the upper and lower lids. There is provided a metal organic chemical vapor deposition apparatus including a gas exhaust unit for discharging to the outside.

好ましくは、上記反応チャンバの一側には蓋回動部をさらに含み、上記蓋回動部は上記上部蓋または下部蓋のうち、いずれかに連結される一端が連結される回動アームと、上記回動アームと上端がヒンジ軸を媒介にして連結される固定アームを含む。   Preferably, a side of the reaction chamber further includes a lid rotation unit, and the lid rotation unit includes a rotation arm connected to one end of the upper lid or the lower lid, The rotating arm includes a fixed arm whose upper end is connected via a hinge shaft.

好ましくは、上記上部サセプタは上記上部サセプタに一端である固定端が固定され、上記ウェーハの表面に他端である自由端が弾性的に接するように曲がり変形した複数の弾性ワイヤーを備える。   Preferably, the upper susceptor includes a plurality of elastic wires that are fixed to one end of the upper susceptor and bent and deformed so that a free end that is the other end is in elastic contact with the surface of the wafer.

好ましくは、上記上、下部ヒーターは上記上、下部サセプタを同じ温度で加熱するか、異なる温度で加熱するように独立的に制御される。   Preferably, the upper and lower heaters are independently controlled to heat the upper and lower susceptors at the same temperature or at different temperatures.

好ましくは、上記回転駆動部は上記上部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える上部回転モーターと、上記下部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える下部回転モーターを含む。   Preferably, the rotational drive unit is formed on an outer peripheral surface of the lower susceptor, and an upper rotary motor having a drive shaft mounted on a tip thereof with a driven gear meshed with a driven gear formed on the outer peripheral surface of the upper susceptor. A lower rotary motor having a drive shaft with a driven gear fitted to the tip of the driven gear.

より好ましくは、上記上、下部回転モーターは上記上、下部サセプタを同じ方向及び同じ速度で回転駆動する。   More preferably, the upper and lower rotary motors rotate the upper and lower susceptors in the same direction and at the same speed.

好ましくは、上記上部蓋と下部蓋には上記上、下部サセプタの外部面か上記上、下部ヒーターに夫々近接するよう配置され加熱温度を測定する上、下部温度センサーを夫々備える。   Preferably, the upper lid and the lower lid are arranged so as to be close to the outer surface of the upper and lower susceptors or the upper and lower heaters, respectively, and are provided with lower temperature sensors.

好ましくは、上記中央ガス供給ノズルから反応チャンバ内に供給される反応ガスの供給位置は上記上、下部サセプタ間の上下間隔の中心と相互一致する。   Preferably, the supply position of the reaction gas supplied from the central gas supply nozzle into the reaction chamber coincides with the center of the vertical distance between the upper and lower susceptors.

好ましくは、上記ガス排気口は上記上、下部蓋の外枠に接するよう配置されるリング型の挿入部材と、上記反応チャンバの内部空間側に開口されるよう上記挿入部材に備えられる排気口及び上記排気口と連結される排気ラインを含む。   Preferably, the gas exhaust port is a ring-type insertion member disposed so as to contact the outer frame of the upper and lower lids, and an exhaust port provided in the insertion member so as to be opened to the inner space side of the reaction chamber; An exhaust line connected to the exhaust port is included.

また、本発明は下部に開放された上部蓋と上部に開放された下部蓋を備え、上下を合型する時に一定大きさの内部空間を形成する反応チャンバと、上記上、下部蓋に備えられる上、下部中空軸に夫々回転可能に組み立てられる上、下部サセプタを備え、対向する上、下部サセプタの対応面に少なくとも一つ以上のウェーハが配置されるウェーハ配置部と、上記上部蓋と上部サセプタ間に備えられる上部ヒーターと、上記下部蓋と下部サセプタ間に備えられる下部ヒーターを備え、上記上、下部中空軸を回転中心にし上、下部サセプタを回転させる動力を提供する回転駆動部と、上記上、下部蓋の外枠に接するよう配置され、上記反応チャンバの内部空間と連結されるガス供給口を備え、対向する上、下部サセプタの対応面の間に反応ガスを供給するガス供給部と、上部または下部のどちらか一方に排気部を設けか、(または両方に)排気部を設けてチャンバの中心部から反応ガスを外部に排出するガス排気部と、を含む金属有機化学気相蒸着装置を提供する。   The present invention also includes a reaction chamber that includes an upper lid that is open at the bottom and a lower lid that is open at the top, and forms an internal space of a certain size when the upper and lower molds are combined, and the upper and lower lids. The upper and lower hollow shafts are each rotatably assembled, the lower susceptor is provided, the wafer placement portion is arranged so that at least one wafer is placed on the opposing upper susceptor, and the upper lid and the upper susceptor. An upper heater provided in between, a lower heater provided between the lower lid and the lower susceptor, and a rotary drive unit that provides power for rotating the upper susceptor around the upper and lower hollow shafts; and The gas supply port is arranged so as to contact the outer frame of the upper and lower lids and is connected to the internal space of the reaction chamber. The reaction gas is supplied between the opposing surfaces of the upper and lower susceptors. Including a gas supply unit configured to provide a gas exhaust unit that is provided with an exhaust unit at either the upper part or the lower part, or (or both), and that exhausts the reaction gas from the central part of the chamber. An organic chemical vapor deposition apparatus is provided.

好ましくは、上記反応チャンバの一側には蓋回動部をさらに含み、上記蓋回動部は上記上部蓋または下部蓋のうち、いずれかに連結される一端が連結される回動アームと、上記回動アームと上端がヒンジ軸を媒介にして連結される固定アームを含む。   Preferably, a side of the reaction chamber further includes a lid rotation unit, and the lid rotation unit includes a rotation arm connected to one end of the upper lid or the lower lid, The rotating arm includes a fixed arm whose upper end is connected via a hinge shaft.

好ましくは、上記上部サセプタは上記上部サセプタに一端である固定端が固定され、上記ウェーハの表面に他端である自由端が弾性的に接するように曲がり変形された複数の弾性ワイヤーを備える。   Preferably, the upper susceptor includes a plurality of elastic wires that are fixed to one end of the upper susceptor and bent and deformed so that a free end that is the other end is in elastic contact with the surface of the wafer.

好ましくは、上記上、下部ヒーターは上記上、下部サセプタを同じ温度で加熱するか、異なる温度で加熱するように独立的に制御される。   Preferably, the upper and lower heaters are independently controlled to heat the upper and lower susceptors at the same temperature or at different temperatures.

好ましくは、上記回転駆動部は上記上部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える上部回転モーターと、上記下部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える下部回転モーターを含む。   Preferably, the rotational drive unit is formed on an outer peripheral surface of the lower susceptor, and an upper rotary motor having a drive shaft mounted on a tip thereof with a driven gear meshed with a driven gear formed on the outer peripheral surface of the upper susceptor. A lower rotary motor having a drive shaft with a driven gear fitted to the tip of the driven gear.

より好ましくは、上記上、下部回転モーターは上記上、下部サセプタを同じ方向及び同じ速度で回転駆動する。   More preferably, the upper and lower rotary motors rotate the upper and lower susceptors in the same direction and at the same speed.

好ましくは、上記上部蓋と下部蓋には上記上、下部サセプタの外部面か上記上、下部ヒーターに夫々近接するよう配置され加熱温度を測定する上、下部温度センサーを夫々備える。   Preferably, the upper lid and the lower lid are arranged so as to be close to the outer surface of the upper and lower susceptors or the upper and lower heaters, respectively, and are provided with lower temperature sensors.

好ましくは、上記ガス供給部は上記上、下部蓋の外枠に接するよう配置されるリング型の挿入部材と上記反応チャンバの内部空間側に開口されるよう上記挿入部材に備えられる給気口及び上記給気口と給気ラインを媒介に連結されるガス供給口を含む。   Preferably, the gas supply unit includes a ring-type insertion member disposed so as to be in contact with the outer frame of the upper and lower lids, and an air supply port provided in the insertion member so as to be opened to the inner space side of the reaction chamber; A gas supply port connected via the supply port and the supply line is included.

より好ましくは、上記給気口から供給される反応ガスの供給位置は上記上、下部サセプタ間の上下間隔の中心と一致する。   More preferably, the supply position of the reaction gas supplied from the air supply port coincides with the center of the vertical interval between the upper and lower susceptors.

好ましくは、上記ガス排気口は上記上、下部中空軸の出口端から上記上、下部蓋の外側に一定長さ延長される排気ラインで備えられるか、上記上、下部中空軸の出口端に組み立てられる排気ラインで備えられる。   Preferably, the gas exhaust port is provided with an exhaust line extending a certain length from the upper and lower hollow shaft outlet ends to the outside of the upper and lower lids, or is assembled to the upper and lower hollow shaft outlet ends. Provided in the exhaust line.

上記の構成の本発明によると、開閉ができるように上下分割される上、下部蓋からなる反応チャンバの内部にウェーハが対向するよう配置した状態で反応ガスが反応チャンバの中心から供給され外周側に排気されるガス流路を形成するか、反応ガスが反応チャンバの外周側から供給され中心に排気されるガス流路を形成することにより、化学気相蒸着反応時にチャンバ内部の上下温度差による熱帯流の発生を根本的に抑えて均一な成長層を蒸着して優れた品質の蒸着ウェーハを製造することができる。   According to the present invention having the above-described configuration, the reaction gas is supplied from the center of the reaction chamber in a state where the wafer is opposed to the inside of the reaction chamber composed of the lower lid and is opened and closed so as to be opened and closed. By forming a gas flow path that is exhausted to the center of the chamber or by forming a gas flow path that is supplied from the outer periphery of the reaction chamber and exhausted to the center, a chemical vapor deposition reaction causes an upper and lower temperature difference inside the chamber. The generation of a tropical current can be fundamentally suppressed, and a uniform growth layer can be deposited to produce an excellent quality deposited wafer.

また、反応チャンバ内部の高温環境でも反応ガスの流れを層流で安定的に形成し、ウェーハを同じ温度で加熱し成長層の均一性、結晶性を同時に満たすことができる一方、対向するよう配置されたウェーハに成長層を同時に成幕することにより有機金属原料の利用効率を高めることができる。   In addition, the reaction gas flow can be stably formed in a laminar flow even in a high-temperature environment inside the reaction chamber, and the wafer can be heated at the same temperature to simultaneously satisfy the uniformity and crystallinity of the growth layer. The use efficiency of the organometallic raw material can be increased by simultaneously forming a growth layer on the formed wafer.

以下、本発明に対して添付の図面に従いより詳細に説明する。   Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

図2は、本発明の第1実施例による金属有機化学気相蒸着装置が閉まっている状態の断面図で、図3は本発明の第1実施例による金属有機化学気相蒸着装置が開いている状態の断面図で、図4は本発明による金属有機化学気相蒸着装置の第1実施例で反応ガスの供給及び排気流れを図示した状態図で、図5は図4の4−4'線に従って切断した状態を上部からみた平面図である。   FIG. 2 is a cross-sectional view illustrating a state in which the metal organic chemical vapor deposition apparatus according to the first embodiment of the present invention is closed, and FIG. 3 illustrates that the metal organic chemical vapor deposition apparatus according to the first embodiment of the present invention is opened. 4 is a state diagram illustrating the supply and exhaust flow of the reaction gas in the first embodiment of the metal organic chemical vapor deposition apparatus according to the present invention, and FIG. It is the top view which looked at the state cut | disconnected according to the line from the upper part.

本発明の第1実施例による装置100は、図2乃至5に図示したように、対向するよう配置されたウェーハ2の表面に同時に成長層を蒸着させることができるもので、これは反応チャンバ110、ウェーハ配置部120、加熱部130、回転駆動部140、ガス供給部150及びガス排気部160を含んで構成される。   The apparatus 100 according to the first embodiment of the present invention can simultaneously deposit a growth layer on the surface of a wafer 2 arranged to face each other, as shown in FIGS. , The wafer placement unit 120, the heating unit 130, the rotation driving unit 140, the gas supply unit 150, and the gas exhaust unit 160.

上記反応チャンバ110は、上部蓋111と下部蓋112を備え、上下を合型する時に一定大きさの内部空間を形成し、上記上部蓋111は下部に開放され、内部天井面111aに断熱材113が備えられる上部構造物である。   The reaction chamber 110 includes an upper lid 111 and a lower lid 112, and forms an internal space of a certain size when the upper and lower sides are combined. The upper lid 111 is opened to the lower side, and a heat insulating material 113 is formed on the inner ceiling surface 111a. It is an upper structure provided with.

上記下部蓋112は、上部に開放され内部底面112aに断熱材114が備えられる下部構造物である。   The lower lid 112 is a lower structure that is open to the top and includes a heat insulating material 114 on the inner bottom surface 112a.

このような上、下部蓋111、112は、胴体を冷却させることができるように胴体内部に冷却水のような流体が一方向に流れる冷却水ライン115、116を夫々備えることが好ましい。 In addition, the upper and lower lids 111 and 112 preferably include cooling water lines 115 and 116, respectively, in which a fluid such as cooling water flows in one direction inside the body so that the body can be cooled.

また、上記反応チャンバ110の一側には上記上部蓋111または下部蓋112のうち、いずれかを回動させ、これらを分離するか、合型する蓋回動部170を備えることもでき、このような蓋回動部170は上記上部蓋111の一端が連結される回動アーム171と、上記回動アーム171と上端がヒンジ軸172を媒介にして連結される固定アーム173を備えて構成する。   Further, one side of the reaction chamber 110 may be provided with a lid rotating unit 170 that rotates either the upper lid 111 or the lower lid 112 and separates or molds them. The lid rotation unit 170 includes a rotation arm 171 to which one end of the upper lid 111 is connected, and a fixed arm 173 to which the rotation arm 171 and the upper end are connected via a hinge shaft 172. .

ここで、上記回動アーム171は、上記上部蓋111に連結されこれを下部蓋に対して回動させ上、下部蓋111、112の合型及び分離動作を行うものと図示したが、これに限定されるものではない。   Here, the pivot arm 171 is connected to the upper lid 111 and pivoted with respect to the lower lid, and the lower lid 111 and 112 are combined and separated. It is not limited.

上記ウェーハ配置部120は、上部サセプタ121と下部サセプタ122を含み、上記上部サセプタ121は上記上部蓋111の内部に配置され、上記上部蓋111の中央部に配置される上部中空軸125に回転可能に組み立てられる。   The wafer placement unit 120 includes an upper susceptor 121 and a lower susceptor 122, and the upper susceptor 121 is disposed inside the upper lid 111 and is rotatable about an upper hollow shaft 125 disposed at the center of the upper lid 111. Assembled into.

上記下部サセプタ122は上記下部蓋112の内部に配置され、上記下部蓋112の中央部に配置される下部中空軸126に回転可能に組み立てられる。   The lower susceptor 122 is disposed inside the lower lid 112 and is rotatably assembled to a lower hollow shaft 126 disposed at the center of the lower lid 112.

このような上、下部中空軸125、126は上記上、下部サセプタ121、122の真ん中に貫通して形成された中央の組立穴121b、122bに配置されるベアリング部材またはブッシュのような回転支持部材(不図示)を媒介にして回転可能に組み立てられる。   The upper and lower hollow shafts 125 and 126 have a rotating support member such as a bearing member or a bush disposed in a central assembly hole 121b and 122b formed through the middle of the upper and lower susceptors 121 and 122. (Not shown) is assembled to be rotatable.

また、上記上、下部中空軸125、126は反応ガスが自由に流れるように中空パイプ部材からなる。   The upper and lower hollow shafts 125 and 126 are made of hollow pipe members so that the reaction gas can freely flow.

上記上、下部サセプタ121、122の表面には蒸着対象物であるウェーハ2がローディングされることができるように陥没形成される円盤型のポケット121a、122aを備え、このような上、下部サセプタ121、122はポケット121a、122aにローディングされるウェーハ2が対向するように配置される。   The upper and lower susceptors 121 and 122 are provided with disk-shaped pockets 121a and 122a that are recessed so that the wafer 2 to be deposited can be loaded. , 122 are arranged so that the wafers 2 loaded in the pockets 121a, 122a face each other.

ここで、上記上部サセプタ121には上記ポケット121aにローディングされたウェーハ2の外部離脱しにくいように弾性ワイヤー124を複数備え、上記弾性ワイヤー124は上記上部サセプタ121に一端である固定端が固定され、上記ウェーハの表面に他端である自由端が弾性的に接するように曲がり変形したワイヤー部材からなる。   Here, the upper susceptor 121 is provided with a plurality of elastic wires 124 so that the wafer 2 loaded in the pocket 121a is not easily detached from the outside, and the elastic wire 124 is fixed to the upper susceptor 121 at a fixed end. The wire member is bent and deformed so that the free end as the other end is in elastic contact with the surface of the wafer.

これにより、上記上部サセプタ121のポケット121aにローディングされたウェーハ2と上記下部サセプタ122のポケット122aに備えられるウェーハ2は一定間隔を置いて蒸着面の上部面が対向するように配置される。   As a result, the wafer 2 loaded in the pocket 121a of the upper susceptor 121 and the wafer 2 provided in the pocket 122a of the lower susceptor 122 are arranged so that the upper surface of the vapor deposition surface faces each other at a predetermined interval.

上記加熱部130は上記上、下部サセプタ121、122に輻射熱を提供し、これにローディングされたウェーハ2を加熱するもので、これは上部ヒーター131と下部ヒーター132を含む。   The heating unit 130 provides radiant heat to the upper and lower susceptors 121 and 122 and heats the wafer 2 loaded thereon. The heating unit 130 includes an upper heater 131 and a lower heater 132.

上記上部ヒーター131は、上記上部蓋111の内部天井面と上記上部サセプタ121間に備えられ、電源印加時に熱を発生させる電熱部材で、上記下部ヒーター132は上記下部蓋112の内部底面と上記下部サセプタ122間に備えられる電源印加時に一定温度の熱を発生させる電熱部材である。   The upper heater 131 is an electric heating member that is provided between the inner ceiling surface of the upper lid 111 and the upper susceptor 121 and generates heat when power is applied. The lower heater 132 is an inner bottom surface of the lower lid 112 and the lower portion. It is an electric heating member that generates heat at a constant temperature when a power supply is provided between the susceptors 122.

このような上、下部ヒーター131、132は上記上、下部サセプタ121、122と対応する領域に上記上、下部サセプタ121、122の表面に近接するよう配置されることが好ましい。   The upper and lower heaters 131 and 132 are preferably disposed in a region corresponding to the upper and lower susceptors 121 and 122 so as to be close to the surfaces of the upper and lower susceptors 121 and 122.

そして、上記上部蓋111と下部蓋112には探針棒が上記上、下部サセプタ121、122の外部面か上記上、下部ヒーター131、132に夫々近接するよう配置され加熱温度を測定する上、下部温度センサー134、135を夫々備える。   In addition, the upper lid 111 and the lower lid 112 are arranged so that a probe bar is arranged on the outer surface of the upper and lower susceptors 121 and 122 or close to the upper and lower heaters 131 and 132, respectively. Lower temperature sensors 134 and 135 are provided, respectively.

ここで、上記上部ヒーター131と下部ヒーター132は上記上、下部サセプタ121、122を同じ温度で加熱するか、異なる温度で加熱するよう独立的に制御される。   Here, the upper heater 131 and the lower heater 132 are independently controlled to heat the upper and lower susceptors 121 and 122 at the same temperature or at different temperatures.

上記回転駆動部140は上記上、下部蓋111、112に備えられた上、下部中空軸125、126を回転中心にし上記上、下部サセプタ121、122を一方向に回転駆動させる動力を提供するもので、これは上部回転モーター141と下部回転モーター142を備え、上記上部回転モーター141は上記上部サセプタ121の外周面に形成された被動ギア145とギアがかみ合わされる駆動ギアを先端に装着した駆動軸143を備える。   The rotation driving unit 140 is provided on the upper and lower lids 111 and 112, and provides power for rotating the upper and lower susceptors 121 and 122 in one direction around the lower hollow shafts 125 and 126 as rotation centers. This includes an upper rotary motor 141 and a lower rotary motor 142, and the upper rotary motor 141 has a driving gear mounted at the tip thereof and a driven gear 145 formed on the outer peripheral surface of the upper susceptor 121. A shaft 143 is provided.

上記下部回転モーター142は、上記下部サセプタ122の外周面に形成された被動ギア146とギアがかみ合わされる駆動ギアを先端に装着した駆動軸144を備える。   The lower rotary motor 142 includes a drive shaft 144 having a driven gear 146 formed on the outer peripheral surface of the lower susceptor 122 and a drive gear engaged with the gear mounted at the tip.

ここで、上記上、下部回転モーター141、142は上記上、下部蓋111、112の外部面に固定して設置され、上記駆動軸143、144が上記上、下部蓋111、112を貫通して配置されるモーター部材である。   Here, the upper and lower rotary motors 141 and 142 are fixedly installed on the outer surfaces of the upper and lower lids 111 and 112, and the drive shafts 143 and 144 penetrate the upper and lower lids 111 and 112. It is a motor member arranged.

そして、上記上、下部回転モーター141、142は電源印加時に上記上、下部中空軸125、126を回転中心にし対向する上、下部サセプタ121、122を同じ方向及び同じ回転速度で回転駆動することが好ましい。   The upper and lower rotary motors 141 and 142 are opposed to each other with the upper and lower hollow shafts 125 and 126 as rotation centers when power is applied, and the lower susceptors 121 and 122 are driven to rotate in the same direction and at the same rotational speed. preferable.

上記ガス供給部150は対向する上、下部サセプタ121、122の対応面の間に上記反応チャンバの中心から外周側に反応ガスが流れるガス流れを形成するよう反応ガスを供給する。   The gas supply unit 150 is opposed to each other and supplies a reaction gas between the corresponding surfaces of the lower susceptors 121 and 122 so as to form a gas flow in which the reaction gas flows from the center of the reaction chamber to the outer peripheral side.

このようなガス供給部150は上記上、下部中空軸125、126に夫々連結される上、下部ガス供給口151、152を有し、上記上、下部中空軸125、126間にはこれらを連結する中央ガス供給ノズル153を備える。   The gas supply unit 150 is connected to the upper and lower hollow shafts 125 and 126, and has lower gas supply ports 151 and 152, which are connected between the upper and lower hollow shafts 125 and 126. A central gas supply nozzle 153 is provided.

上記中央ガス供給ノズル153には上記上、下部ガス供給口151、152から供給される反応ガスを外部に排出するためのノズル穴154を複数備える。   The central gas supply nozzle 153 includes a plurality of nozzle holes 154 for discharging the reaction gas supplied from the upper and lower gas supply ports 151 and 152 to the outside.

ここで、上記中央ガス供給ノズル153から供給される反応ガスの供給位置は上記上、下部サセプタ121、122間の上下間隔の中心と大略的に一致することが好ましい。   Here, it is preferable that the supply position of the reaction gas supplied from the central gas supply nozzle 153 substantially coincides with the center of the vertical interval between the upper and lower susceptors 121 and 122.

このような中央ガス供給ノズル153は、上記下部中空軸126の上端に固定されるものと図示し説明したが、これに限定されるものではなく上記上部中空軸125の下端に固定されることもできる。   The central gas supply nozzle 153 is illustrated and described as being fixed to the upper end of the lower hollow shaft 126, but is not limited thereto, and may be fixed to the lower end of the upper hollow shaft 125. it can.

また、上記中央ガス供給ノズル153は上記上、下部中空軸125、126の外径より大きい外径を有する中空部材として図示し説明したが、これに限定されるものではなく上記上、下部中空軸125、126の外径と同一、または小さい外径サイズで備えられることもできる。   The central gas supply nozzle 153 is illustrated and described as a hollow member having an outer diameter larger than the outer diameter of the upper and lower hollow shafts 125 and 126, but is not limited thereto, and the upper and lower hollow shafts are not limited thereto. The outer diameters of 125 and 126 may be the same as or smaller than the outer diameter.

上記ガス排気部160は、上記反応チャンバ110の内部中心に供給され対向するよう配置されたウェーハ2の表面と接触されながら上記ウェーハ2の上部表面に成長層を形成するように反応が完了された廃反応ガスを外部に排出する。   The gas exhaust 160 is supplied to the inner center of the reaction chamber 110 and the reaction is completed so as to form a growth layer on the upper surface of the wafer 2 while being in contact with the surface of the wafer 2 disposed to face the center. Waste reaction gas is discharged to the outside.

このようなガス排気部160は上記上、下部蓋111、112の外枠に接するよう配置されるリング型の挿入部材161と、上記反応チャンバの内部空間側に開口されるよう上記挿入部材161に備えられる排気口162及び上記排気口162と連結される排気ライン163を備えて構成する。   The gas exhaust unit 160 includes a ring-shaped insertion member 161 disposed so as to contact the outer frames of the upper and lower lids 111 and 112, and the insertion member 161 so as to open to the inner space side of the reaction chamber. An exhaust port 162 provided and an exhaust line 163 connected to the exhaust port 162 are provided.

これにより、図3に図示したように、上記蓋 回動部170の開放作動により回動アーム171に連結された上部蓋111が位置が固定されている下部蓋112に対して図面上の反時計方向に回動されることにより、上記上、下部蓋111、112に備えられた上、下部サセプタ121、122を外部に開放する。   Accordingly, as shown in FIG. 3, the upper lid 111 connected to the pivot arm 171 by the opening operation of the lid pivot section 170 is counterclockwise on the drawing with respect to the lower lid 112 where the position is fixed. By rotating in the direction, the upper and lower susceptors 121 and 122 provided on the upper and lower lids 111 and 112 are opened to the outside.

このような状態で、上記上、下部サセプタ121、122に形成された複数のポケット121a、122a毎に蒸着対象物であるウェーハ2を夫々挿入して配置する。   In such a state, the wafer 2 as a deposition target is inserted and arranged in each of the plurality of pockets 121a and 122a formed in the upper and lower susceptors 121 and 122, respectively.

このとき、上記上部サセプタ121にローディングされるウェーハ2は下部に向って自重により離脱されることができるため、上記上部サセプタ121に一端である固定端が固定される弾性ワイヤー124の他端である自由端がウェーハ2の表面に弾力的に接するようにする。   At this time, since the wafer 2 loaded on the upper susceptor 121 can be detached by its own weight toward the lower part, it is the other end of the elastic wire 124 to which the fixed end which is one end is fixed to the upper susceptor 121. The free end is brought into elastic contact with the surface of the wafer 2.

そして、上記ウェーハ2のローディングが終了すると、上記蓋回動部170の閉まる作動により回動アーム171に連結された上部蓋111を位置が固定された下部蓋112に対して図面上の時計方向に回動することにより上記上、下部蓋111、112はその外枠に接するよう配置されるリング型の挿入部材161を媒介にして合型され、これにより、上記上、下部蓋111、112と挿入部材161間には密閉された一定大きさの内部空間を形成するようになる。   When the loading of the wafer 2 is completed, the upper lid 111 connected to the pivot arm 171 is moved in the clockwise direction in the drawing relative to the lower lid 112 whose position is fixed by the closing operation of the lid pivot unit 170. By rotating, the upper and lower lids 111 and 112 are combined with each other via a ring-shaped insertion member 161 arranged so as to be in contact with the outer frame thereof, whereby the upper and lower lids 111 and 112 are inserted. An internal space of a fixed size is formed between the members 161.

これと共に上記上、下部ヒーター131、132に電源を印加することにより上記上、下部サセプタ121、122を輻射熱で加熱し、これにローディングされたウェーハ2を700乃至1200°で加熱する。 At the same time, by applying power to the upper and lower heaters 131 and 132, the upper and lower susceptors 121 and 122 are heated by radiant heat, and the wafer 2 loaded thereon is heated at 700 to 1200 °.

そして、上記上、下部蓋111、112に備えられた上、下部回転モーター141、142に電源を印加し、各駆動軸143、144を回転させると、上記駆動軸143、144の各一端部に一体で備えられるか、別途に組み立てられる駆動ギア(不図示)と上記上、下部サセプタ121、122の外周側縁に備えられた被動ギア145、146間のギアがかみ合わされることにより上記上、下部サセプタ121、122は上、下部中空軸125、126を回転中心にし同じ方向に回転される。   When power is applied to the upper rotary motors 141 and 142 provided on the upper and lower lids 111 and 112 and the drive shafts 143 and 144 are rotated, each end of the drive shafts 143 and 144 is applied to each end. By combining a drive gear (not shown) that is provided integrally or separately assembled with the above-mentioned gears between driven gears 145 and 146 provided on the outer peripheral side edges of the lower susceptors 121 and 122, the above, The lower susceptors 121 and 122 are rotated in the same direction around the upper and lower hollow shafts 125 and 126 as rotation centers.

このような状態で、上記上、下部ガス供給口151、152を通じ供給された反応ガスは上、下部中空軸125、126を通じこれらの間に備えられた中央ガス供給ノズル153に供給され、上記中央ガス供給ノズル153のノズル穴154を通じ供給される反応ガスは図4に図示したように、上記反応チャンバ110の内部中心から外周側に流れる反応ガス流れBを形成する。   In this state, the reaction gas supplied through the upper and lower gas supply ports 151 and 152 is supplied through the upper and lower hollow shafts 125 and 126 to the central gas supply nozzle 153 provided therebetween, The reaction gas supplied through the nozzle hole 154 of the gas supply nozzle 153 forms a reaction gas flow B that flows from the inner center of the reaction chamber 110 to the outer peripheral side, as shown in FIG.

そして、上記反応ガス流れBは対向する上、下部サセプタ121、122間に形成されるガス流路を経て上記ガス排気部160に排出されるが、上記上部サセプタ121のローディング面はガス流路の天井面に該当し、上記下部サセプタ122のローディング面はガス流路の底面に該当する。   The reactive gas flow B is opposed and discharged to the gas exhaust part 160 through a gas flow path formed between the lower susceptors 121 and 122. The loading surface of the upper susceptor 121 is a gas flow path. It corresponds to the ceiling surface, and the loading surface of the lower susceptor 122 corresponds to the bottom surface of the gas flow path.

この時、上記対向する上、下部サセプタ121、122は上、下部ヒーター131、132により同じ温度で加熱され、これらの温度差は殆どないため、ガス流路を形成する天井面と底面間の温度差により発生される熱帯流現象を根本的に防ぐことができ、ガス流速やノズル形状に関係なく安定的な反応ガスの供給が可能になる。   At this time, the upper and lower susceptors 121 and 122 facing each other are heated at the same temperature by the upper and lower heaters 131 and 132, and there is almost no temperature difference between them. The tropical flow phenomenon generated by the difference can be fundamentally prevented, and a stable reaction gas can be supplied regardless of the gas flow velocity and the nozzle shape.

これにより、上記対向する上、下部サセプタ121、122間を通過する反応ガスはウェーハ2の蒸着面である上部面で化学的蒸着反応をしながら上記ウェーハ2の表面に成長層を均一に形成し、反応が終了した廃反応ガスは副産物と共に挿入部材161に備えられる排気口162及びこれに連結された排気ライン163を通じて外部に排出される。   As a result, the reaction gas passing between the upper and lower susceptors 121, 122 facing each other forms a growth layer uniformly on the surface of the wafer 2 while performing a chemical vapor deposition reaction on the upper surface, which is the vapor deposition surface of the wafer 2. The waste reaction gas after the reaction is discharged to the outside together with by-products through an exhaust port 162 provided in the insertion member 161 and an exhaust line 163 connected thereto.

また、高温環境を有する反応チャンバ内部で反応ガスの流れを層流で安定的に形成し、複数のウェーハを同じ温度で加熱し、成長層の均一性及び結晶性を安定的に確保することができると共に、対向するよう配置されたウェーハに成長層を同時に成膜しながら供給された有機金属原料の利用効率をより高めることができる。   In addition, it is possible to stably form a flow of reaction gas in a laminar flow inside a reaction chamber having a high temperature environment, and heat a plurality of wafers at the same temperature to stably ensure the uniformity and crystallinity of the growth layer. In addition, the utilization efficiency of the organometallic raw material supplied while simultaneously forming the growth layer on the wafers arranged to face each other can be further increased.

図6は、本発明の第2実施例による金属有機化学気相蒸着装置が閉まっている状態の断面図で、図7は本発明の第2実施例による金属有機化学気相蒸着装置が開いている状態の断面図で、図8は本発明の第2実施例による金属有機化学気相蒸着装置で反応ガスの供給及び排気流れを図示した状態図である。   FIG. 6 is a cross-sectional view illustrating a state in which the metal organic chemical vapor deposition apparatus according to the second embodiment of the present invention is closed, and FIG. 7 illustrates that the metal organic chemical vapor deposition apparatus according to the second embodiment of the present invention is opened. FIG. 8 is a state diagram illustrating the supply and exhaust flow of the reaction gas in the metal organic chemical vapor deposition apparatus according to the second embodiment of the present invention.

本発明の第2実施例による装置200は、図6乃至8に図示したように、対向するよう配置されたウェーハ2の表面に同時に成長層を蒸着させることができるもので、これは反応チャンバ210、ウェーハ配置部220、加熱部230、回転駆動部240、ガス排気部250及びガス供給部260を含んで構成される。   The apparatus 200 according to the second embodiment of the present invention can simultaneously deposit a growth layer on the surface of a wafer 2 arranged to face each other, as shown in FIGS. , A wafer placement unit 220, a heating unit 230, a rotation driving unit 240, a gas exhaust unit 250, and a gas supply unit 260.

上記反応チャンバ210は上部蓋211と下部蓋212を備え、上下を合型する時に一定大きさの内部空間を形成し、上記上部蓋211は下部に開放され、内部天井面211aに断熱材213が備えられる上部構造物である。   The reaction chamber 210 includes an upper lid 211 and a lower lid 212, and forms an internal space of a certain size when the upper and lower sides are combined. The upper lid 211 is opened to the lower side, and a heat insulating material 213 is provided on the inner ceiling surface 211a. It is a superstructure provided.

上記下部蓋212は、上部に開放され内部底面212a に断熱材214が備えられる下部構造物である。   The lower lid 212 is a lower structure that is open to the top and includes a heat insulating material 214 on the inner bottom surface 212a.

このような上、下部蓋211、212は胴体を冷却させることができるよう胴体内部に冷却水のような流体が一方向に流れる冷却水ライン215、216を夫々備えることが好ましい。   In addition, it is preferable that the lower lids 211 and 212 include cooling water lines 215 and 216, respectively, in which a fluid such as cooling water flows in one direction in the body so that the body can be cooled.

また、上記反応チャンバ210の一側には上記上部蓋211または下部蓋212のうち、いずれかを回動させ、これらを分離、または合型する蓋回動部270を備えることもでき、このような蓋回動部270は上記上部蓋210に一端が連結される回動アーム271と、上記回動アーム271と上端がヒンジ軸272を媒介にして連結される固定アーム273を備えて構成する。   In addition, one side of the reaction chamber 210 may be provided with a lid rotation unit 270 that rotates either the upper lid 211 or the lower lid 212 and separates or molds them. The lid rotating unit 270 includes a rotating arm 271 having one end connected to the upper cover 210 and a fixed arm 273 having an upper end connected to the rotating arm 271 through a hinge shaft 272.

ここで、上記回動アーム271は上記上部蓋211に連結され、これを下部蓋に対して回動させて上、下部蓋211、212の合型及び分離動作を行うこととして図示したが、これに限定されるものではない。   Here, although the rotation arm 271 is connected to the upper lid 211 and is rotated with respect to the lower lid, the upper lid 211 and the lower lids 211 and 212 are illustrated in FIG. It is not limited to.

上記ウェーハ配置部220は上部サセプタ221と下部サセプタ222を含み、上記上部サセプタ221は上記上部蓋211の内部に配置され、上記上部蓋211の中央部に配置される上部中空軸225に回転可能に組み立てられる。   The wafer placement unit 220 includes an upper susceptor 221 and a lower susceptor 222, and the upper susceptor 221 is disposed inside the upper lid 211 and is rotatable to an upper hollow shaft 225 disposed at the center of the upper lid 211. Assembled.

上記下部サセプタ222は上記下部蓋212の内部に配置され、上記下部蓋212の中央部に配置される下部中空軸226に回転可能に組み立てられる。   The lower susceptor 222 is disposed inside the lower lid 212 and is rotatably assembled to a lower hollow shaft 226 disposed at the center of the lower lid 212.

このような上、下部中空軸225、226は上記上、下部サセプタ221、222の真ん中に貫通して形成された中央組立穴221b、222bに配置されるベアリング部材またはブッシュのような回転支持部材(不図示)を媒介にして回転可能に組み立てられる。   The upper and lower hollow shafts 225 and 226 have a rotational support member such as a bearing member or a bush disposed in a central assembly hole 221b and 222b formed through the middle of the upper and lower susceptors 221 and 222. (Not shown) is assembled to be rotatable.

また、上記上、下部中空軸225、226は反応ガスが自由に流れるように中空パイプ部材からなる。   The upper and lower hollow shafts 225 and 226 are formed of hollow pipe members so that the reaction gas can freely flow.

このような上、下部中空軸225、226には上記反応チャンバ210の内部で反応が完了した廃反応ガスを外部に排出させるよう上、下部蓋から外部に延長される排気ライン251、252を一体で備えることもできるが、これに限定されるものではなく、別途の排気ラインを連結することもできる。   In addition, the upper hollow shafts 225 and 226 are integrally provided with exhaust lines 251 and 252 extending from the lower lid to the outside so as to discharge waste reaction gas that has been reacted inside the reaction chamber 210 to the outside. However, the present invention is not limited to this, and a separate exhaust line can be connected.

上記上、下部サセプタ221、222の表面には蒸着対象物であるウェーハ2がローディングされることができるよう陥没して形成される円盤型のポケット221a、222aを備え、このような上、下部サセプタ221、222はポケット221a、222aにローディングされるウェーハ2が対向するよう配置される。   The upper and lower susceptors 221 and 222 are provided with disk-shaped pockets 221a and 222a that are recessed so that the wafer 2 to be deposited can be loaded. The wafers 221 and 222 are arranged so that the wafers 2 loaded in the pockets 221a and 222a face each other.

ここで、上記上部サセプタ221には上記ポケット221aにローディングされたウェーハ2が外部離脱しにくいように弾性ワイヤー224を複数備えているため、上記弾性ワイヤー224は上記上部サセプタ221に一端である固定端が固定され、上記ウェーハの表面に他端である自由端が弾性的に接するように曲がり変形したワイヤー部材で備えられる。   Here, since the upper susceptor 221 includes a plurality of elastic wires 224 so that the wafer 2 loaded in the pocket 221a is not easily detached from the outside, the elastic wire 224 is fixed to the upper susceptor 221 at one end. Is fixed, and is provided with a wire member that is bent and deformed so that the free end as the other end is in elastic contact with the surface of the wafer.

これにより、上記上部サセプタ221のポケット221aにローディングされたウェーハ2と上記下部サセプタ222のポケット222aに備えられるウェーハ2は一定間隔を置いて蒸着面である上部面が対向するように配置される。   As a result, the wafer 2 loaded in the pocket 221a of the upper susceptor 221 and the wafer 2 provided in the pocket 222a of the lower susceptor 222 are arranged so that the upper surface, which is the vapor deposition surface, faces each other at a predetermined interval.

上記加熱部230は上記上、下部サセプタ221、222に輻射熱を提供して、これにローディングされたウェーハ2を加熱するもので、これは上部ヒーター231と下部ヒーター232を含む。   The heating unit 230 provides radiant heat to the upper and lower susceptors 221 and 222 to heat the wafer 2 loaded thereon, and includes an upper heater 231 and a lower heater 232.

上記上部ヒーター231は、上記上部蓋211の内部天井面と上記上部サセプタ221間に備えられ、電源印加時に熱を発生させる電熱部材で、上記下部ヒーター232は上記下部蓋212の内部底面と上記下部サセプタ222間に備えられる電源印加時に一定温度の熱を発生させる電熱部材である。   The upper heater 231 is an electric heating member that is provided between the inner ceiling surface of the upper lid 211 and the upper susceptor 221 and generates heat when power is applied. The lower heater 232 is an inner bottom surface of the lower lid 212 and the lower portion. It is an electric heating member that generates heat at a constant temperature when a power supply is provided, provided between the susceptors 222.

このような上、下部ヒーター231、232は上記上、下部サセプタ221、222と対応する領域に上記上、下部サセプタ221、222の表面に近接するように配置されることが好ましい。   In this manner, the lower heaters 231 and 232 are preferably disposed in a region corresponding to the upper and lower susceptors 221 and 222 so as to be close to the surfaces of the upper and lower susceptors 221 and 222.

そして、上記上部蓋211と下部蓋212には探針棒が上記上、下部サセプタ221、222の外部面か上記上、下部ヒーター231、232に夫々近接するよう配置され加熱温度を測定する上、下部温度センサー234、235を夫々備える。   In addition, the upper lid 211 and the lower lid 212 are arranged so that the probe rods are arranged close to the outer surfaces of the upper and lower susceptors 221, 222, and the upper and lower heaters 231, 232, respectively. Lower temperature sensors 234 and 235 are provided, respectively.

ここで、上記上部ヒーター231と下部ヒーター232は上記上、下部サセプタ221、222を同じ温度で加熱するか、異なる温度で加熱するよう独立的に制御される。   Here, the upper heater 231 and the lower heater 232 are independently controlled to heat the upper and lower susceptors 221 and 222 at the same temperature or at different temperatures.

上記回転駆動部240は上記上、下部蓋211、212に備えられた上、下部中空軸225、226を回転中心にし上記上、下部サセプタ221、222を一方向に回転駆動させる動力を提供するもので、これは上部回転モーター241と下部回転モーター242を備えているため、上記上部回転モーター241は上記上部サセプタ221の外周面に形成された被動ギア245とギアがかみ合わされる駆動ギアを先端に装着した駆動軸243を備える。   The rotation drive unit 240 is provided on the upper and lower lids 211 and 212, and provides power for rotating the upper and lower susceptors 221 and 222 in one direction around the lower hollow shafts 225 and 226 as rotation centers. Since the upper rotary motor 241 and the lower rotary motor 242 are provided, the upper rotary motor 241 has a driven gear 245 formed on the outer peripheral surface of the upper susceptor 221 and a drive gear meshed with the driving gear. A mounted drive shaft 243 is provided.

上記下部回転モーター242は上記下部サセプタ222の外周面に形成された被動ギア246とギアがかみ合わされる駆動ギアを先端に装着した駆動軸244を備える。   The lower rotary motor 242 includes a drive shaft 244 with a driven gear 246 formed on the outer peripheral surface of the lower susceptor 222 and a drive gear engaged with the gear mounted at the tip.

ここで、上記上、下部回転モーター241、242は上記上、下部蓋211、212の外部面に固定して設置され、上記駆動軸243、244が上記上、下部蓋211、212を貫通して配置されるモーター部材である。   Here, the upper and lower rotary motors 241 and 242 are fixedly installed on the outer surfaces of the upper and lower lids 211 and 212, and the drive shafts 243 and 244 pass through the upper and lower lids 211 and 212. It is a motor member arranged.

そして、上記上、下部回転モーター241、242は電源印加時に上記上、下部中空軸225、226を回転中心にし対向する上、下部サセプタ221、222を同じ方向及び同じ回転速度で回転駆動することが好ましい。   The upper and lower rotary motors 241 and 242 are opposed to each other with the upper and lower hollow shafts 225 and 226 as rotation centers when the power is applied, and the lower susceptors 221 and 222 are rotated in the same direction and at the same rotational speed. preferable.

上記ガス排気部250は上記反応チャンバ210の内部の中心に供給され対向するよう配置されたウェーハ2の表面と接触されながら上記ウェーハ2の上部表面に成長層を形成するよう反応が完了した廃反応ガスを外部に排出する。   The gas exhaust unit 250 is supplied to the center of the reaction chamber 210 and is in contact with the surface of the wafer 2 disposed so as to face the waste reaction, and the reaction is completed to form a growth layer on the upper surface of the wafer 2. The gas is discharged to the outside.

このようなガス排気部250は上記上、下部中空軸225、226から延長され上記上、下部蓋211、212の外部面から外側に一定長さ延長される中空型排気ライン251、252からなる。   The gas exhaust unit 250 includes hollow exhaust lines 251 and 252 that extend from the upper and lower hollow shafts 225 and 226 and extend outward from the outer surfaces of the upper and lower lids 211 and 212 by a predetermined length.

このような排気ライン251、252は上記上、下部中空軸225、226から連続して一定長さ延長される中空部材からなることもできるが、これに限定されるものではなく上記上、下部中空軸225、226の出口端に組立式で組み立てられる一定長さの中空部材からなることもできる。   The exhaust lines 251 and 252 may be formed of a hollow member continuously extended from the upper and lower hollow shafts 225 and 226 by a certain length, but the upper and lower hollows are not limited thereto. It can also consist of a fixed length hollow member assembled at the outlet end of the shafts 225, 226 in an assembling fashion.

上記ガス供給部260は対向する上、下部サセプタ221、222の対応面の間に上記反応チャンバの外周側から中心に反応ガスが流れるガス流れを形成するよう反応ガスを供給する。   The gas supply unit 260 is opposed to each other and supplies a reaction gas between the corresponding surfaces of the lower susceptors 221 and 222 so as to form a gas flow in which the reaction gas flows from the outer peripheral side of the reaction chamber to the center.

このようなガス供給部260は上記上、下部蓋211、212の外枠に接するように配置されるリング型の挿入部材261と、上記反応チャンバ210の内部空間側に開口されるよう上記挿入部材261に備えられる給気口262及び上記給気口262と給気ライン263を媒介にして連結されるガス供給口264を備える。   The gas supply unit 260 includes a ring-type insertion member 261 disposed so as to contact the outer frames of the upper and lower lids 211 and 212, and the insertion member that is opened to the inner space side of the reaction chamber 210. 261 and a gas supply port 264 connected to the supply port 262 through the supply line 263.

ここで、上記反応チャンバの内部に露出される給気口262から供給される反応ガスの供給位置は上記上、下部サセプタ221、222間の上下間隔の中心と大略的に一致することが好ましい。   Here, it is preferable that the supply position of the reaction gas supplied from the air supply port 262 exposed inside the reaction chamber substantially coincides with the center of the vertical interval between the upper and lower susceptors 221 and 222.

上記ガス供給口264から提供される反応ガスは上記挿入部材261の給気口262を通じ上記反応チャンバ210の外周側から中心方向に供給されることができる。   The reaction gas provided from the gas supply port 264 may be supplied from the outer peripheral side of the reaction chamber 210 toward the center through the air supply port 262 of the insertion member 261.

これにより、図7に図示されたように、上記蓋回動部270の開放作動により回動アーム271に連結された上部蓋211が位置が固定された下部蓋212に対して図面上の反時計方向に回動されることにより、上記上、下部蓋211、212に備えられた上、下部サセプタ221、222を外部に開放する。   Accordingly, as shown in FIG. 7, the upper lid 211 connected to the pivot arm 271 by the opening operation of the lid pivot section 270 is counterclockwise on the drawing with respect to the lower lid 212 where the position is fixed. By rotating in the direction, the upper and lower susceptors 221 and 222 provided on the upper and lower lids 211 and 212 are opened to the outside.

このような状態で、上記上、下部サセプタ221、222に形成された複数のポケット221a、222a毎に蒸着対象物であるウェーハ2を夫々挿入して配置する。   In such a state, the wafer 2 as a deposition target is inserted and arranged in each of the plurality of pockets 221a and 222a formed in the upper and lower susceptors 221 and 222, respectively.

この時、上記上部サセプタ221にローディングされるウェーハ2は下部に向って自重により離脱されることができるため、上記上部サセプタ221に一端である固定端が固定される弾性ワイヤー224の他端である自由端がウェーハ2の表面に弾力的に接するようにする。   At this time, since the wafer 2 loaded on the upper susceptor 221 can be detached by its own weight toward the lower part, it is the other end of the elastic wire 224 to which the fixed end which is one end is fixed to the upper susceptor 221. The free end is brought into elastic contact with the surface of the wafer 2.

そして、上記ウェーハ2のローディングが終了すると、上記蓋回動部270の閉まる作動により回動アーム271に連結された上部蓋211が位置が固定された下部蓋212に対して図面上の時計方向に回動することにより、上記上、下部蓋211、212は図6に図示したように、その外枠に接するように配置されるリング型の挿入部材261を媒介に合型され、これにより、上記上、下部蓋211、212と挿入部材261間には密閉された一定大きさの内部空間を形成されるようになる。   When the loading of the wafer 2 is finished, the upper lid 211 connected to the pivot arm 271 is moved clockwise in the drawing with respect to the fixed position of the upper lid 211 by the lid pivoting portion 270 closing operation. By rotating, the upper and lower lids 211 and 212 are combined with each other through a ring-shaped insertion member 261 arranged so as to contact the outer frame as shown in FIG. A sealed fixed internal space is formed between the upper and lower lids 211 and 212 and the insertion member 261.

これと共に、上記上、下部ヒーター231、232に電源を印加することにより上記上、下部サセプタ221、222を輻射熱で加熱して、これにローディングされたウェーハ2を700乃至1200℃で加熱する。   At the same time, the upper and lower susceptors 221 and 222 are heated by radiant heat by applying power to the upper and lower heaters 231 and 232, and the wafer 2 loaded thereon is heated at 700 to 1200 ° C.

そして、上記上、下部蓋211、212に備えられた上、下部回転モーター241、242に電源を印加して各駆動軸243、244を回転させると、上記駆動軸243、244の各一端部に一体で備えられるか、別途で組み立てられる駆動ギア(不図示)と上記上、下部サセプタ221、222の外周側の縁に備えられた被動ギア245、246間のギアがかみ合わされることにより上記上、下部サセプタ221、222は上、下部中空軸225、226を回転中心にし同じ方向に回転される。   When the upper and lower lids 211 and 212 are provided with power applied to the lower rotary motors 241 and 242 to rotate the drive shafts 243 and 244, each end of the drive shafts 243 and 244 is rotated. A drive gear (not shown) that is provided integrally or separately assembled and a gear between driven gears 245 and 246 provided on the outer peripheral edges of the lower susceptors 221 and 222 are engaged with each other. The lower susceptors 221 and 222 are rotated in the same direction around the upper and lower hollow shafts 225 and 226 as rotation centers.

このような状態で、上記ガス供給口264を通じて給気ライン263と連結された給気口262に供給された反応ガスは、図8に図示したように、上記反応チャンバ110の外周側から中心側に流れる反応ガス流れCを形成するようになる。   In this state, the reaction gas supplied to the air supply port 262 connected to the air supply line 263 through the gas supply port 264 flows from the outer peripheral side of the reaction chamber 110 to the center side as shown in FIG. The reaction gas flow C flowing in the gas is formed.

そして、上記反応ガス流れCは対向する上、下部サセプタ221、222間に形成されるガス流路を経て上記ガス排気部250に排出されるが、上記上部サセプタ221のローディング面はガス流路の天井面に該当し、上記下部サセプタ222のローディング面はガス流路の底面に該当する。   The reaction gas flow C is opposed and discharged to the gas exhaust unit 250 through a gas flow path formed between the lower susceptors 221, 222. The loading surface of the upper susceptor 221 is a gas flow path. It corresponds to the ceiling surface, and the loading surface of the lower susceptor 222 corresponds to the bottom surface of the gas flow path.

この時、上記対向する上、下部サセプタ221、222は上、下部ヒーター231、232により同じ温度で加熱され、これらの温度差は殆どないため、ガス流路を形成する天井面と底面間の温度差により発生する熱帯流現象を根本的に防ぐことができ、ガスの流速やノズル形状とは関係なく安定的な反応ガスの供給が可能になる。   At this time, the upper and lower susceptors 221 and 222 facing each other are heated at the same temperature by the upper and lower heaters 231 and 232, and there is almost no temperature difference between them. The tropical current phenomenon caused by the difference can be fundamentally prevented, and a stable reaction gas can be supplied regardless of the gas flow velocity and the nozzle shape.

これにより、上記対向する上、下部サセプタ221、222間を通過する反応ガスはウェーハ2の蒸着面である上部面で化学的蒸着反応をしながら上記ウェーハ2の表面に成長層を均一に形成し、反応が終了した廃反応ガスは副産物と共に上記反応チャンバの中心部に備えられた上、下部中空軸225、226及びこれに連結された排気ライン251、252を通じ排出される。   As a result, the reaction gas passing between the upper and lower susceptors 221 and 222 forms a growth layer uniformly on the surface of the wafer 2 while performing a chemical vapor deposition reaction on the upper surface, which is the vapor deposition surface of the wafer 2. The waste reaction gas after the reaction is disposed in the center of the reaction chamber together with by-products and is discharged through the lower hollow shafts 225 and 226 and the exhaust lines 251 and 252 connected thereto.

また、高温環境を有する反応チャンバ内部で反応ガスの流れを層流で安定的に形成し、複数のウェーハを同じ温度で加熱することにより、成長層の均一性及び結晶性を安定的に確保することができると共に、対向するよう配置されたウェーハに成長層を同時に成膜しながら供給された有機金属原料の利用効率をより高めることができる。   In addition, the reaction gas flow is stably formed in a laminar flow inside a reaction chamber having a high temperature environment, and a plurality of wafers are heated at the same temperature, so that the uniformity and crystallinity of the growth layer can be stably secured. In addition, the utilization efficiency of the organometallic raw material supplied while simultaneously forming the growth layer on the wafers arranged to face each other can be further increased.

本発明は特定の実施例に関して図示し説明したが、当業界において通常の知識を有する者であれば、以下の特許請求の範囲に記載の本発明の思想及び領域から外れない範囲内で本発明を多様に修正及び変更させることができる。   While the invention has been illustrated and described with reference to specific embodiments, those skilled in the art will recognize that the invention is within the spirit and scope of the invention as defined by the following claims. Can be modified and changed in various ways.

一般的な金属有機化学気相蒸着装置を図示した構成図である。1 is a configuration diagram illustrating a general metal organic chemical vapor deposition apparatus. 本発明の第1実施例による金属有機化学気相蒸着装置が閉まっている状態の断面図である。1 is a cross-sectional view showing a state where a metal organic chemical vapor deposition apparatus according to a first embodiment of the present invention is closed. 本発明の第1実施例による金属有機化学気相蒸着装置が開いている状態の断面図である。1 is a cross-sectional view illustrating a state in which a metal organic chemical vapor deposition apparatus according to a first embodiment of the present invention is open. 本発明の第1実施例による金属有機化学気相蒸着装置で反応ガスの供給及び排気流れを図示した状態図である。FIG. 3 is a state diagram illustrating a supply and exhaust flow of a reactive gas in a metal organic chemical vapor deposition apparatus according to a first embodiment of the present invention. 図4の4−4'線に沿って切断した状態を上部からみた平面図である。It is the top view which looked at the state cut | disconnected along the 4-4 'line | wire of FIG. 4 from the upper part. 本発明の第2実施例による金属有機化学気相蒸着装置が閉まっている状態の断面図である。It is sectional drawing of the state by which the metal organic chemical vapor deposition apparatus by 2nd Example of this invention was closed. 本発明の第2実施例による金属有機化学気相蒸着装置が開いている状態の断面図である。FIG. 6 is a cross-sectional view illustrating a state in which a metal organic chemical vapor deposition apparatus according to a second embodiment of the present invention is open. 本発明による金属有機化学気相蒸着装置の第2実施例で反応ガスの供給及び排気流れを図示した状態図である。FIG. 6 is a state diagram illustrating the supply and exhaust flow of a reactive gas in a second embodiment of the metal organic chemical vapor deposition apparatus according to the present invention.

符号の説明Explanation of symbols

110、210 反応チャンバ
111、211 上部蓋
112、212 下部蓋
120、220 ウェーハ配置部
121、221 上部サセプタ
122、222 下部サセプタ
130、230 加熱部
131、231 上部ヒーター
132、232 下部ヒーター
140、240 回転駆動部
141、241 上部回転モーター
142、242 下部回転モーター
150、260 ガス供給部
160、250 ガス排気部
110, 210 Reaction chamber 111, 211 Upper lid 112, 212 Lower lid 120, 220 Wafer placement unit 121, 221 Upper susceptor 122, 222 Lower susceptor 130, 230 Heating unit 131, 231 Upper heater 132, 232 Lower heater 140, 240 Rotation Drive unit 141, 241 Upper rotary motor 142, 242 Lower rotary motor 150, 260 Gas supply unit 160, 250 Gas exhaust unit

Claims (19)

上部蓋と下部蓋を備え、上下を合型する時に一定大きさの内部空間を形成する反応チャンバと、
前記上部蓋に備えられる上部中空軸に回転可能に組み立てられる上部サセプタ、および前記下部蓋に備えられる下部中空軸に回転可能に組み立てられる下部サセプタを備え、対向する上部サセプタと下部サセプタとの対応面に少なくとも一つ以上のウェーハが配置されるウェーハ配置部と、
前記上部蓋と上部サセプタ間に備えられる上部ヒーターと、前記下部蓋と下部サセプタ間に備えられる下部ヒーターを備え、
前記上部中空軸を回転中心とし上部サセプタを回転させる動力、および前記下部中空軸を回転中心とし下部サセプタを回転させる動力を提供する回転駆動部と、
前記上部中空軸に連結される上部ガス供給口、または、前記下部中空軸に連結される下部ガス供給口を備えるか、または、上部ガス供給口および下部ガス供給口の両方を供え、中央ガス供給ノズルを通じ対向する上部サセプタと下部サセプタとの対応面の間に反応ガスを供給するガス供給部と、
前記上部蓋および下部蓋の外枠に接するように配置され、前記反応チャンバの内部空間と連結され反応ガスを外部に排出するガス排気部と、を含む金属有機化学気相蒸着装置。
A reaction chamber having an upper lid and a lower lid, and forming an internal space of a certain size when the upper and lower molds are combined;
An upper susceptor rotatably assembled to an upper hollow shaft provided in the upper lid, and a lower susceptor rotatably assembled to a lower hollow shaft provided in the lower lid, and corresponding surfaces of the opposed upper susceptor and lower susceptor A wafer placement section on which at least one or more wafers are placed,
An upper heater provided between the upper lid and the upper susceptor, and a lower heater provided between the lower lid and the lower susceptor,
A rotation drive unit that provides power for rotating the upper susceptor with the upper hollow shaft as a rotation center, and power for rotating the lower susceptor with the lower hollow shaft as a rotation center;
The upper gas supply port connected to the upper hollow shaft, or the lower gas supply port connected to the lower hollow shaft, or both the upper gas supply port and the lower gas supply port are provided, and the central gas supply A gas supply unit for supplying a reaction gas between corresponding surfaces of the upper susceptor and the lower susceptor facing each other through the nozzle;
A metal organic chemical vapor deposition apparatus that includes a gas exhaust unit that is disposed in contact with an outer frame of the upper lid and the lower lid and is connected to an internal space of the reaction chamber and exhausts a reaction gas to the outside.
前記反応チャンバの一側には蓋回動部をさらに含み、前記蓋回動部は前記上部蓋または下部蓋のうち、いずれかに連結される一端が連結される回動アームと、前記回動アームと上端がヒンジ軸を媒介にして連結される固定アームを含むことを特徴とする請求項1に記載の金属有機化学気相蒸着装置。   One side of the reaction chamber further includes a lid rotating part, the lid rotating part being connected to one of the upper lid and the lower lid, one end connected to the rotating arm, and the rotating The metal organic chemical vapor deposition apparatus according to claim 1, further comprising a fixed arm whose upper end is connected to the arm via a hinge shaft. 前記上部サセプタは、前記上部サセプタに一端である固定端が固定され、前記ウェーハの表面に他端である自由端が弾性的に接するように曲がり変形した複数の弾性ワイヤーを備えることを特徴とする請求項1または請求項2に記載の金属有機化学気相蒸着装置。   The upper susceptor includes a plurality of elastic wires that are fixed to one end of the upper susceptor and bent and deformed so that a free end that is the other end is in elastic contact with the surface of the wafer. The metal organic chemical vapor deposition apparatus according to claim 1 or 2. 前記上部ヒーターおよび下部ヒーターは、前記上部サセプタと下部サセプタとを同じ温度で過熱するか、異なる温度で過熱するよう独立的に制御されることを特徴とする請求項1から請求項3の何れかに記載の金属有機化学気相蒸着装置。   The upper heater and the lower heater are independently controlled so that the upper susceptor and the lower susceptor are superheated at the same temperature or at different temperatures. Metal-organic chemical vapor deposition apparatus described in 1. 前記回転駆動部は、前記上部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える上部回転モーターと、前記下部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える下部回転モーターを含むことを特徴とする請求項1から請求項4の何れかに記載の金属有機化学気相蒸着装置。   The rotational drive unit is formed on an outer peripheral surface of the lower susceptor, and an upper rotary motor having a drive shaft with a drive gear meshed with a driven gear formed on the outer peripheral surface of the upper susceptor. 5. The metal organic chemical vapor deposition apparatus according to claim 1, further comprising a lower rotary motor including a drive shaft having a drive gear fitted to the tip of a driven gear and a gear engaged with the driven gear. 前記上部回転モーターおよび下部回転モーターは前記上部サセプタおよび下部サセプタを同じ方向及び同じ速度で回転駆動することを特徴とする請求項5に記載の金属有機化学気相蒸着装置。   6. The metal organic chemical vapor deposition apparatus according to claim 5, wherein the upper rotary motor and the lower rotary motor rotate and drive the upper susceptor and the lower susceptor at the same direction and at the same speed. 前記上部蓋は前記上部サセプタの外部面または前記上部ヒーターに近接するように配置され加熱温度を測定する上部温度センサーを備え、
前記下部蓋は前記下部サセプタの外部面または前記下部ヒーターに近接するように配置され加熱温度を測定する下部温度センサーを備えることを特徴とする請求項1から請求項6の何れかに記載の金属有機化学気相蒸着装置。
The upper lid includes an upper temperature sensor arranged to be close to the outer surface of the upper susceptor or the upper heater and measuring a heating temperature,
The metal according to any one of claims 1 to 6, wherein the lower lid includes a lower temperature sensor that is disposed so as to be close to an outer surface of the lower susceptor or the lower heater, and measures a heating temperature. Organic chemical vapor deposition equipment.
前記中央ガス供給ノズルから反応チャンバ内に供給される反応ガスの供給位置は前記上部サセプタと下部サセプタとの間の上下間隔の中心と一致することを特徴とする請求項1から請求項7の何れかに記載の金属有機化学気相蒸着装置。   8. The supply position of the reaction gas supplied into the reaction chamber from the central gas supply nozzle coincides with the center of the vertical interval between the upper susceptor and the lower susceptor. A metal organic chemical vapor deposition apparatus according to claim 1. 前記ガス排気部は、前記上部蓋および下部蓋の外枠に接するよう配置されるリング型の挿入部材と、前記反応チャンバの内部空間側に開口されるによう前記挿入部材に備えられる排気口及び前記排気口と連結される排気ラインを含むことを特徴とする請求項1から請求項8の何れかに記載の金属有機化学気相蒸着装置。   The gas exhaust unit includes a ring-type insertion member disposed so as to contact the outer frame of the upper lid and the lower lid, an exhaust port provided in the insertion member so as to be opened to the internal space side of the reaction chamber, and The metal organic chemical vapor deposition apparatus according to claim 1, further comprising an exhaust line connected to the exhaust port. 上部蓋と下部蓋を備え、上下を合型する時に一定大きさの内部空間を形成する反応チャンバと、
前記上部蓋に備えられる上部中空軸に回転可能に組み立てられる上部サセプタ、および前記下部蓋に備えられる下部中空軸に回転可能に組み立てられる下部サセプタを備え、対向する上部サセプタと下部サセプタとの対応面に少なくとも一つ以上のウェーハが配置されるウェーハ配置部と、
前記上部蓋と上部サセプタ間に備えられる上部ヒーターと、前記下部蓋と下部サセプタ間に備えられる下部ヒーターを備え、
前記上部中空軸を回転中心にし上部サセプタを回転させる動力、および前記下部中空軸を回転中心にし下部サセプタを回転させる動力を提供する回転駆動部と、
前記上部蓋および下部蓋の外枠に接するよう配置され、前記反応チャンバの内部空間と連結されるガス供給口を備え、対向する上部サセプタと下部サセプタとの対応面の間に反応ガスを供給するガス供給部と、反応チャンバの中心部から反応ガスを外部に排出するガス排気部と、を含む金属有機化学気相蒸着装置。
A reaction chamber having an upper lid and a lower lid, and forming an internal space of a certain size when the upper and lower molds are combined;
An upper susceptor rotatably assembled to an upper hollow shaft provided in the upper lid, and a lower susceptor rotatably assembled to a lower hollow shaft provided in the lower lid, and corresponding surfaces of the opposed upper susceptor and lower susceptor A wafer placement section on which at least one or more wafers are placed,
An upper heater provided between the upper lid and the upper susceptor, and a lower heater provided between the lower lid and the lower susceptor,
A rotational drive unit that provides power for rotating the upper susceptor around the upper hollow shaft and power for rotating the lower susceptor around the lower hollow shaft;
A gas supply port arranged to contact the outer frame of the upper lid and the lower lid, connected to the internal space of the reaction chamber, and supplying a reaction gas between corresponding surfaces of the upper susceptor and the lower susceptor facing each other. A metal organic chemical vapor deposition apparatus including a gas supply unit and a gas exhaust unit for exhausting a reaction gas from the center of the reaction chamber to the outside.
前記反応チャンバの一側には蓋回動部をさらに含み、前記蓋回動部は前記上部蓋または下部蓋のうち、いずれかに連結される一端が連結される回動アームと、前記回動アームと上端がヒンジ軸を媒介にして連結される固定アームを含むことを特徴とする請求項10に記載の金属有機化学気相蒸着装置。   One side of the reaction chamber further includes a lid rotating part, the lid rotating part being connected to one of the upper lid and the lower lid, one end connected to the rotating arm, and the rotating The metal organic chemical vapor deposition apparatus according to claim 10, further comprising a fixed arm whose upper end is connected to the arm via a hinge shaft. 前記上部サセプタは、前記上部サセプタに一端である固定端が固定され、前記ウェーハの表面に他端である自由端が弾性的に接するよう曲がり変形した複数の弾性ワイヤーを備えることを特徴とする請求項10または請求項11に記載の金属有機化学気相蒸着装置。   The upper susceptor includes a plurality of elastic wires that are fixed to one end of the upper susceptor and bent and deformed so that a free end that is the other end is in elastic contact with the surface of the wafer. Item 10. The metal organic chemical vapor deposition apparatus according to Item 10 or Item 11. 前記上部ヒーターおよび下部ヒーターは前記上部サセプタおよび下部サセプタを同じ温度で加熱するか、異なる温度で加熱するよう独立的に制御されることを特徴とする請求項10から請求項12の何れかに記載の金属有機化学気相蒸着装置。   13. The upper heater and the lower heater are independently controlled to heat the upper susceptor and the lower susceptor at the same temperature or at different temperatures. Metal organic chemical vapor deposition equipment. 前記回転駆動部は、前記上部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える上部回転モーターと、前記下部サセプタの外周面に形成された被動ギアとギアがかみ合わされる駆動ギアを先端に装着した駆動軸を備える下部回転モーターを含むことを特徴とする請求項10から請求項13の何れかに記載の金属有機化学気相蒸着装置。   The rotational drive unit is formed on an outer peripheral surface of the lower susceptor, and an upper rotary motor having a drive shaft with a drive gear meshed with a driven gear formed on the outer peripheral surface of the upper susceptor. The metal organic chemical vapor deposition apparatus according to any one of claims 10 to 13, further comprising a lower rotary motor including a drive shaft having a drive gear fitted to the tip of a driven gear and a gear engaged with the driven gear. 前記上部回転モーターおよび下部回転モーターは前記上部サセプタおよび下部サセプタを同じ方向及び同じ速度で回転駆動することを特徴とする請求項14に記載の金属有機化学気相蒸着装置。   The metal organic chemical vapor deposition apparatus according to claim 14, wherein the upper rotary motor and the lower rotary motor rotate and drive the upper susceptor and the lower susceptor at the same direction and at the same speed. 前記上部蓋は前記上部サセプタの外部面または前記上部ヒーターに近接するように配置され加熱温度を測定する上部温度センサーを備え、
前記下部蓋は前記下部サセプタの外部面または前記下部ヒーターに近接するように配置され加熱温度を測定する下部温度センサーを備えることを特徴とする請求項10から請求項15の何れかに記載の金属有機化学気相蒸着装置。
The upper lid includes an upper temperature sensor arranged to be close to the outer surface of the upper susceptor or the upper heater and measuring a heating temperature,
The metal according to any one of claims 10 to 15, wherein the lower lid includes a lower temperature sensor arranged to be close to an outer surface of the lower susceptor or the lower heater and measuring a heating temperature. Organic chemical vapor deposition equipment.
前記ガス供給部は、前記上部蓋および下部蓋の外枠に接するよう配置されるリング型の挿入部材と前記反応チャンバの内部空間側に開口されるよう前記挿入部材に備えられる給気口及び前記給気口と給気ラインを媒介にして連結されるガス供給口を含むことを特徴とする請求項10から請求項16の何れかに記載の金属有機化学気相蒸着装置。   The gas supply unit includes a ring-type insertion member disposed so as to be in contact with outer frames of the upper lid and the lower lid, an air supply port provided in the insertion member so as to be opened to the inner space side of the reaction chamber, and the The metal organic chemical vapor deposition apparatus according to any one of claims 10 to 16, further comprising a gas supply port connected to the air supply port via an air supply line. 前記給気口から供給される反応ガスの供給位置は前記上部サセプタと下部サセプタとの間の上下間隔の中心と一致することを特徴とする請求項17に記載の金属有機化学気相蒸着装置。   18. The metal organic chemical vapor deposition apparatus according to claim 17, wherein a supply position of the reaction gas supplied from the air supply port coincides with a center of a vertical interval between the upper susceptor and the lower susceptor. 前記ガス排気部は、前記上部中空軸または下部中空軸の出口端から前記上部蓋または下部蓋の外側に一定長さ延長される排気ラインからなるか、前記上部中空軸および下部中空軸の両方の出口端に組み立てられる排気ラインからなることを特徴とする請求項10から請求項18の何れかに記載の金属有機化学気相蒸着装置。   The gas exhaust part is composed of an exhaust line extending from the outlet end of the upper hollow shaft or the lower hollow shaft to the outside of the upper lid or the lower lid, or both of the upper hollow shaft and the lower hollow shaft. The metal organic chemical vapor deposition apparatus according to claim 10, comprising an exhaust line assembled at an outlet end.
JP2008269386A 2007-12-13 2008-10-20 Metal organic chemical vapor deposition equipment Expired - Fee Related JP4879245B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020070129715A KR100956247B1 (en) 2007-12-13 2007-12-13 Metal Organic Chemical Vapor Deposition Apparatus
KR10-2007-0129715 2007-12-13

Publications (2)

Publication Number Publication Date
JP2009147308A true JP2009147308A (en) 2009-07-02
JP4879245B2 JP4879245B2 (en) 2012-02-22

Family

ID=40680225

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008269386A Expired - Fee Related JP4879245B2 (en) 2007-12-13 2008-10-20 Metal organic chemical vapor deposition equipment

Country Status (3)

Country Link
JP (1) JP4879245B2 (en)
KR (1) KR100956247B1 (en)
DE (1) DE102008052750A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111218670A (en) * 2020-03-11 2020-06-02 南京原磊纳米材料有限公司 Improved generation ALD coating machine

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
CN103343334A (en) * 2013-07-18 2013-10-09 湖南顶立科技有限公司 Vapor deposition method
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59159941A (en) * 1983-02-28 1984-09-10 Ishikawajima Harima Heavy Ind Co Ltd Production of crude aluminum alloy
JPS59187139A (en) * 1983-04-06 1984-10-24 Yanmar Diesel Engine Co Ltd Double engine, one shaft type speed reduction and reversal system
JPH06163422A (en) * 1992-11-17 1994-06-10 Anelva Corp Method and device for formation of thin film
JP2002134423A (en) * 2000-10-27 2002-05-10 Super Silicon Kenkyusho:Kk Epitaxial growth system
JP2006165450A (en) * 2004-12-10 2006-06-22 Mitsui Eng & Shipbuild Co Ltd Device and method for forming semiconductor film

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100707793B1 (en) * 2005-02-04 2007-04-13 주식회사 테라세미콘 Chemical-Vapor-Deposition Apparatus and Chemical-Vapor-Depositioning Method for LCD

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59159941A (en) * 1983-02-28 1984-09-10 Ishikawajima Harima Heavy Ind Co Ltd Production of crude aluminum alloy
JPS59187139A (en) * 1983-04-06 1984-10-24 Yanmar Diesel Engine Co Ltd Double engine, one shaft type speed reduction and reversal system
JPH06163422A (en) * 1992-11-17 1994-06-10 Anelva Corp Method and device for formation of thin film
JP2002134423A (en) * 2000-10-27 2002-05-10 Super Silicon Kenkyusho:Kk Epitaxial growth system
JP2006165450A (en) * 2004-12-10 2006-06-22 Mitsui Eng & Shipbuild Co Ltd Device and method for forming semiconductor film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111218670A (en) * 2020-03-11 2020-06-02 南京原磊纳米材料有限公司 Improved generation ALD coating machine

Also Published As

Publication number Publication date
KR100956247B1 (en) 2010-05-06
JP4879245B2 (en) 2012-02-22
DE102008052750A1 (en) 2009-06-18
KR20090062455A (en) 2009-06-17

Similar Documents

Publication Publication Date Title
JP4879245B2 (en) Metal organic chemical vapor deposition equipment
US10475641B2 (en) Substrate processing apparatus
JP6087236B2 (en) Deposition method
JP5732284B2 (en) Film forming apparatus and film forming method
JP5231117B2 (en) Film forming apparatus and film forming method
TWI463590B (en) A semiconductor manufacturing apparatus, a semiconductor manufacturing method, and a cleaning method of a semiconductor manufacturing apparatus
WO2011114858A1 (en) Semiconductor thin-film manufacturing method, seminconductor thin-film manufacturing apparatus, susceptor, and susceptor holding tool
JP6257008B2 (en) Substrate processing apparatus and reaction tube
JP5851149B2 (en) Film forming apparatus and film forming method
US20110200749A1 (en) Film deposition apparatus and method
US20150214029A1 (en) Method for processing a substrate and substrate processing apparatus
JP5542584B2 (en) Film forming apparatus and film forming method
JP6196106B2 (en) Method for manufacturing silicon oxide film
JP5139107B2 (en) Vapor growth equipment
JP6226677B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
JPH0760804B2 (en) Method and apparatus for semiconductor vapor phase growth
KR100532949B1 (en) Plasma assistive batch type atomic layer deposition apparatus
KR101504138B1 (en) Apparatus for depositing thin film on wafer and method for cleaning the apparatus
JP6820793B2 (en) Substrate processing equipment, exhaust pipe coating method and substrate processing method
KR100790729B1 (en) Chemical vapor deposition apparatus
JP7296855B2 (en) Plasma processing apparatus and plasma processing method
JP2011151118A (en) Apparatus and method for manufacturing semiconductor
JP5134311B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
KR20100014206A (en) Metal organic chemical vapor deposition apparatus
JP2006032459A (en) Chemical vapor phase growing device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100930

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111108

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111129

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S631 Written request for registration of reclamation of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313631

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S631 Written request for registration of reclamation of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313631

S633 Written request for registration of reclamation of name

Free format text: JAPANESE INTERMEDIATE CODE: R313633

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees