JP2008545277A - アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積 - Google Patents

アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積 Download PDF

Info

Publication number
JP2008545277A
JP2008545277A JP2008519486A JP2008519486A JP2008545277A JP 2008545277 A JP2008545277 A JP 2008545277A JP 2008519486 A JP2008519486 A JP 2008519486A JP 2008519486 A JP2008519486 A JP 2008519486A JP 2008545277 A JP2008545277 A JP 2008545277A
Authority
JP
Japan
Prior art keywords
group
metal
structural formula
substrate
compounds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008519486A
Other languages
English (en)
Other versions
JP2008545277A5 (ja
JP5003978B2 (ja
Inventor
クイック,ティモシー,エイ.
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2008545277A publication Critical patent/JP2008545277A/ja
Publication of JP2008545277A5 publication Critical patent/JP2008545277A5/ja
Application granted granted Critical
Publication of JP5003978B2 publication Critical patent/JP5003978B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Pyridine Compounds (AREA)
  • Semiconductor Memories (AREA)

Abstract

本発明は、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を含む、原子層堆積システムと方法を提供する。このシステムと方法は、基板上に金属含有層を堆積するために役立つ。
【選択図】図1

Description

本出願は、2005年6月28日出願の米国特許出願No. 11/168,160の優先権を主張し、その全体を本明細書に参照として引用する。
集積回路装置の縮小は、高誘電率の材料をコンデンサとゲートに組み込む必要性を生じてきた。現在の技術の最小サイズは、標準的な誘電性材料の使用によって実質的に制約されるため、新たな高誘電率の材料と工程の探索が、より重要になってきている。アルカリ土類金属を含む誘電性材料は、従来の誘電性材料と比較して、静電容量において著しい利点を提供できる。例えば、ペロブスカイト材料SrTiO3は最大で500のバルク誘電率を有することが開示されている。
あいにく、アルカリ土類金属を蒸着工程にうまく組み込むことは難しいことがわかっている。例えば、アルカリ土類金属ジケトナートの原子層堆積(atomic layer deposition、ALD)は開示されているが、これらの金属ジケトナートは揮発性が低く、液体注入システムでの使用のために有機溶媒に溶解されることを通常必要とする。低い揮発性に加え、これらの金属ジケトナートは一般に反応性に乏しく、被膜を増大させるために高い基板温度と強酸化剤をしばしば必要とするが、この膜はしばしば炭素で汚染される。置換された、もしくは置換されていないシクロペンタジエニル配位子を含むものなど、他のアルカリ土類金属源は、熱安定性が低いだけでなく揮発性に乏しく、基板表面上で望ましくない熱分解を引き起こす。
高誘電性材料を組み込む新たな源と方法が、新型の集積回路装置のために求められている。
本発明は、(構造式I)の化合物を一種以上含む蒸着方法とシステムを提供し、
(構造式I):
ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、各R1、R2、R3、R4およびR5は独立に水素または有機基であり、nは金属の原子価状態をあらわし、zは0から10で、xは1からnである。
或る態様では、本発明は基板(例えば半導体基板またはアセンブリ基板)の上に金属含有層を形成する方法を提供する。方法は、基板を用意することと、(構造式I)の化合物を一種以上含む気体を用意することを含み、
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、n、xおよびzは上記で定めた通りであり、また方法は、一種以上の反応気体を用意することと、構造式Iの化合物を一種以上含む気体を基板に接触させて、複数の堆積サイクル(deposition cycles)を含む原子層堆積工程を用いて基板の一つ以上の表面上に金属含有層を形成することを含む。方法は随意に、構造式Iと異なる一種以上の金属含有化合物を含む気体を用意すること(例えばTi、Ta、Bi、Hf、Zr、Pb、Nb、Mg、および/またはAl-含有化合物)、ならびに、構造式Iと異なる一種以上の金属含有化合物を含む気体を基板に接触させること、をさらに含む。
或る態様では、本発明は半導体構造の製造方法を提供する。方法は、半導体基板またはアセンブリ基板を用意することと、(構造式I)の化合物を一種以上含む気体を用意することを含み、
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、n、xおよびzは上記で定めた通りであり、また方法は、構造式Iと異なる一種以上の金属含有化合物を含む気体を用意すること、ならびに、構造式Iの化合物を一種以上含む気体と、構造式Iと異なる一種以上の金属含有化合物を含む気体を、半導体基板またはアセンブリ基板に向け、複数の堆積サイクルを含む原子層堆積工程を用いて半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成することを含む。いくつかの実施形態では、原子層堆積工程の間、構造式Iの化合物を一種以上含む気体と、構造式Iと異なる一種以上の金属含有化合物を含む気体とを、各堆積サイクルの間に交互に導入することによって、金属含有層が形成される。
別の態様では、本発明は半導体構造の製造方法を提供する。方法は、半導体基板またはアセンブリ基板を原子層堆積室の内部に用意することと、(構造式I)の化合物を一種以上含む気体を用意することを含み、
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、n、xおよびzは上記で定めた通りであり、また方法は、構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、構造式Iの化合物を一種以上含む気体を半導体基板またはアセンブリ基板に向け、かつ、一種以上の化合物を半導体基板またはアセンブリ基板の一つ以上の表面に化学吸着させること、ならびに、構造式Iと異なる一種以上の金属含有化合物を含む気体を、半導体基板またはアセンブリ基板に向け、かつ、構造式Iと異なる一種以上の化合物を半導体基板またはアセンブリ基板の一つ以上の表面に化学吸着させ、半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成することを含む。或る実施形態では、構造式Iの化合物を一種以上含む気体を半導体基板またはアセンブリ基板に向けること、ならびに構造式Iと異なる一種以上の金属含有化合物を含む気体を半導体基板またはアセンブリ基板に向けることは、一回以上繰り返される。
別の態様では、本発明は記憶装置構造の製造方法を提供する。方法は、その上に第一電極を有する基板を用意することと、(構造式I)の化合物を一種以上含む気体を用意することを含み、
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、n、xおよびzは上記で定めた通りであり、また方法は、構造式Iの化合物を一種以上含む気体を基板に接触させて、基板の第一電極上に化合物を化学吸着させることと、一種以上の反応気体を用意することと、一種以上の反応気体を、その上に化合物を化学吸着させた基板に接触させて、誘電体層を基板の第一電極上に形成すること、ならびに、誘電体層上に第二電極を形成することを含む。
別の態様では、本発明は原子層蒸着システムを提供し、これは、その中に基板を配置した堆積室と、(構造式I)の化合物を一種以上含む一つ以上の容器を含む。
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、n、xおよびzは上記で定めた通りである。
β‐ジケチミナート配位子を含む金属含有化合物は、例えば高誘電率膜などを堆積させるために、原子層堆積法で有利に利用することができる。加えてセラミック被膜は、強誘電性、圧電性、および/または耐熱性の被膜用途において使用するために堆積させることができる。
<定義>
本明細書で用いるように、以下の種類の構造式は、
金属に配位された非局在化電子密度を持つペンタジエニル基類の配位子(例えばβ‐ジケチミナート配位子)をあらわすために用いられる。配位子は、一つ、二つ、三つ、四つ、および/または五つの原子を介して金属に配位され得る(すなわちη1‐、η2‐、η3‐、η4‐、および/またはη5‐配位形式)。
本明細書で用いるように、“a”、“an”、“the”および“一つ以上(at least one)”は互換可能であり、一つまたは一つ以上を意味する。
本明細書で用いるように、用語“堆積工程”および“蒸着工程”は、金属含有層が、基板(例えばドープポリシリコンウェハ)の一つ以上の表面上に、一種以上の金属含有化合物を含む気化した前駆体組成(一種または複数)から形成される工程をあらわす。特に、一種以上の金属含有化合物は気化され、さらに堆積室に配置された基板(例えば半導体基板またはアセンブリ基板)の一つ以上の表面に向けられ、かつ/または接触させられる。通常基板は加熱されている。これらの金属含有化合物は、不揮発性の薄く均一な金属含有層を基板の表面(一つまたは複数)上に形成する(例えば反応または分解によって)。本発明の目的のために、用語“蒸着工程”は、化学蒸着工程(パルス化学蒸着工程を含む)と原子層堆積工程の両方を含むことを意味する。
本明細書で用いるように用語“原子層堆積”(ALD)は、堆積サイクルが、好ましくは複数の連続堆積サイクルであり、処理室(すなわち堆積室)で行われる蒸着工程をあらわす。通常、各サイクルの間に前駆体は堆積面(例えばアセンブリ基板表面、または前のALDサイクルからの材料など、前もって堆積された支持構造表面)に化学吸着され、追加の前駆体と容易に反応しない(すなわち自己制限的反応)単分子層または副単分子層(sub-monolayer)を形成する。従って必要であれば、化学吸着した前駆体を堆積面上の所望材料へ変換する際に使用するために、反応物質(例えば別の前駆体または反応気体)を処理室に続けて導入することもある。通常、この反応物質は前駆体とのさらなる反応が可能である。さらに、各サイクルの間にパージ(purging)ステップを利用して、処理室から過剰前駆体を除去すること、ならびに/あるいは、過剰反応物質および/または反応副生成物を、化学吸着された前駆体の変換の後に処理室から除去することもある。さらに、本明細書で用いるように、用語“原子層堆積”は、“原子層化学蒸着”、“原子層エピタキシー”(ALE)(Ackermanの米国特許No. 5,256,244を参照)、分子線エピタキシー(MBE)、ガスソースMBE、または有機金属MBE、ならびに、前駆体組成(一種または複数)、反応気体、およびパージガス(例えば不活性搬送ガス)の交互パルスを用いて行われる際の化学線エピタキシーなどの、関連用語によって指定される工程を含む意図である。
1サイクルの化学蒸着(CVD)工程に比べて、より長い持続時間の複数サイクルALD工程は、自己制限的な層成長による、層の厚さと組成の制御における改善、ならびに、反応成分の分離による有害な気相反応の縮小を可能にする。ALDの自己制限的性質は、任意の適切な反応表面上に膜を堆積させる方法を提供し、この反応表面には、不規則地形を持つ表面、CVDまたは他の“視線”堆積法(蒸発もしくは物理蒸着(PVDまたはスパッタリング)など)と利用可能なものよりも良い段差被覆性を持つ表面が含まれる。
本発明は、原子層堆積を用いて基板上に金属含有層を形成する方法とシステムを提供する。方法は、一種以上のβ‐ジケチミナート配位子を含む一種以上の金属含有化合物の気体を用意することを含む。いくつかの実施形態では、金属化合物は、対称または非対称が可能なβ‐ジケチミナート配位子を含むホモレプティック錯体(すなわち、金属が一種類の配位子のみと結合した錯体)である。他の実施形態では、金属化合物は、対称または非対称が可能な一種以上のβ‐ジケチミナート配位子を含むヘテロレプティック錯体(すなわち、金属が一種類以上の配位子と結合した錯体)である。いくつかの実施形態では、β‐ジケチミナート配位子はη5‐配位形式であることができる。
一種以上のβ‐ジケチミナート配位子を含む適切な金属含有化合物は、(構造式I)の化合物を含み、
(構造式I):
式中のMは二族金属(例えばCa、Sr、Ba)、三族金属(例えばSc、Y、La)、ランタニド(例えばPr、Nd)またはそれらの組み合わせである。MはCa、Sr、またはBaであることが好ましい。各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
各R1、R2、R3、R4およびR5は、独立に水素または有機基(例えばアルキル基、好ましくは、例えばアルキル部分)である。或る実施形態では、各R1、R2、R3、R4およびR5は、独立に水素または1から10の炭素原子を持つ有機基(例えばメチル基、エチル基、プロピル基、イソプロピル基、ブチル基、sec-ブチル基、tert-ブチル基)である。そのような化合物は、例えばEl-Kaderi et al., Organometallics, 23:4995-5002 (2004)、および2005年6月28日出願の米国出願No. 11/169,082(題目"UNSYMMETRICAL LIGAND SOURCES, REDUCED SYMMETRY METAL-CONTAINING COMPOUNDS, AND SYSTEMS AND METHODS INCLUDING SAME")に記載されているものなどを含む。
或る実施形態では、構造式Iに示したβ‐ジケチミナート配位子は対称性である(すなわちR1=R5、かつR2=R4)。いくつかの実施形態では、R2=R4=メチル基である。いくつかの実施形態では、R3=Hである。いくつかの実施形態では、R1=R5=イソプロピル基である。いくつかの実施形態では、R1=R5=tert-ブチル基である。こうした構造式Iの化合物の例は、R2=R4=メチル基、R3=H、およびR1=R5=イソプロピル基である化合物と、R2=R4=メチル基、R3=H、およびR1=R5=tert-ブチル基である化合物を含む。
他の或る実施形態では、構造式Iに示したβ‐ジケチミナート配位子は非対称性である(すなわち、以下の一つ以上があてはまる。R1がR5と異なるか、あるいはR2がR4と異なる。)いくつかの実施形態では、R2=R4=メチル基である。いくつかの実施形態では、R3=Hである。いくつかの実施形態では、R1=イソプロピル基、かつR5=tert-ブチル基である。こうした構造式Iの化合物の例は、R2=R4=メチル基、R3=H、R1=イソプロピル基、およびR5=tert-ブチル基である。
Lは幅広い種類の任意のアニオン配位子をあらわす。例示的なアニオン配位子(L)は、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせを含む。或る実施形態では、Lは構造式Iに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基である。他の或る実施形態では、Lは構造式Iに示したβ‐ジケチミナート配位子と異なる構造を持つβ‐ジケチミナート基(例えば対称または非対称)である。
Yは任意の中性配位子をあらわす。例示的な中性配位子(Y)はカルボニル基(CO)、ニトロシル基(NO)、アンモニア(NH3)、アミン(NR3)、窒素(N2)、ホスフィン(PR3)、アルコール(ROH)、水(H2O)、テトラヒドロフラン、およびそれらの組み合わせを含み、ここで各Rは独立に水素または有機基をあらわす。任意の中性配位子(Y)の数はzであらわされ、zは0から10であり、好ましくは0から3である。より好ましくは、Yは存在しない(すなわちz=0)。
本明細書で用いるように、用語“有機基”は、脂肪族基、環状基、もしくは脂肪族基と環状基の組み合わせ(例えばアルカリル基およびアラルキル基)として分類される炭化水素基を意味するよう、本発明の目的のために用いられる。本発明との関連において、本発明の金属含有化合物に適切な有機基は、蒸着技術を用いた金属酸化物層の形成を妨げないようなものである。本発明との関連において、用語“脂肪族基”は飽和または不飽和の、直鎖または分岐炭化水素基を意味する。この用語は、例えばアルキル基、アルケニル基、およびアルキニル基を含むように用いられる。用語“アルキル基”は、飽和直鎖または分岐一価炭化水素基を意味し、例えばメチル基、エチル基、n-プロピル基、イソプロピル基、tert-ブチル基、アミル基、ヘプチル基などを含む。用語“アルケニル基”は、一つ以上のオレフィン含有(olefinically)不飽和基(すなわち炭素‐炭素二重結合)を持つ、不飽和直鎖または分岐一価炭化水素基(ビニル基など)を意味する。用語“アルキニル基”は、一つ以上の炭素‐炭素三重結合を持つ、不飽和直鎖または分岐一価炭化水素基を意味する。用語“環状基”は、脂環式基、芳香族基、または複素環基として分類される閉鎖炭化水素環基を意味する。用語“脂環式基”は、脂肪族基の特性に類似している特性を持つ環状炭化水素を意味する。用語“芳香族基”または“アリール基”は、単環芳香族炭化水素基または多環芳香族炭化水素基を意味する。用語“複素環基”は、環内の一つ以上の原子が炭素以外の元素(例えば窒素、酸素、硫黄など)である、閉鎖炭化水素環基を意味する。
本明細書全体で用いられる或る専門用語の考察および詳述を簡略化する手段として、用語“基”および“部分”は、置換を許す、あるいは置換され得る化学種と、置換を許さない、あるいは置換されないかもしれない化学種とを区別するために用いられる。従って、用語“基”が化学置換基をあらわすために用いられるときは、記述された化学物質は、非置換基と、非過酸化O、N、S、Si、またはF原子を例えばカルボニル基または他の従来の置換基と同様に鎖の中に持つ基、とを含む。用語“部分”が化学化合物または置換基をあらわすために用いられる場合、ただ一つの非置換化学物質が含まれることを意味する。例えば、語句“アルキル基”は、純粋な開鎖飽和炭化水素アルキル置換基(メチル基、エチル基、プロピル基、tert-ブチル基など)だけでなく、さらに当該分野で既知の置換基を持つアルキル置換基(ヒドロキシル基、アルコキシ基、アルキルスルホニル基、ハロゲン原子、シアノ基、ニトロ基、アミノ基、カルボキシル基など)をも含むことを意味する。従って、“アルキル基”はエーテル基、ハロアルキル基、ニトロアルキル基、カルボキシアルキル基、ヒドロキシアルキル基、スルホアルキル基などを含む。一方、語句“アルキル部分”は、純粋な開鎖飽和炭化水素アルキル置換基(メチル基、エチル基、プロピル基、tert-ブチル基など)のみを含むことに限定される。
一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を含む前駆体組成は、原子層堆積を用いて金属含有層を堆積するのに役立つ。加えて、そのような原子層堆積法は一種以上の異なる金属含有化合物を含む前駆体組成を含むこともできる。そのような前駆体組成は、例えば下記でより十分に述べるALD工程において、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を含む前駆体組成に対して、実質的に同時に、あるいは連続して、堆積/化学吸着されることができる。そのような異なる金属含有化合物の金属は、例えばTi、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al、およびそれらの組み合わせを含むことができる。適切な異なる金属含有化合物は、例えばテトラキスチタンイソプロポキシド、四塩化チタン、トリクロロチタンジアルキルアミド、テトラキスチタンジアルキルアミド、テトラキスハフニウムジアルキルアミド、トリメチルアルミニウム、塩化ジルコニウム(IV)、ペンタキスタンタルエトキシド、およびそれらの組み合わせを含む。
金属含有層は、例えば基板(例えば半導体基板またはアセンブリ基板)上に堆積させることができる。本明細書で用いるように“基板”、“半導体基板”または“アセンブリ基板”は、一つ以上の層、構造、またはその上に形成される領域を持つ半導体基層もしくは半導体基板などの、基板あるいは半導体基板のいずれかをあらわす。半導体基層は、通常、ウェハ上のシリコン材料の最下層、または他の材料の上に堆積されたシリコン層(サファイアの上のシリコンなど)である。アセンブリ基板について参照すると、領域、接点、種々の構造または特徴、および開口部(トランジスタ、活性化領域(active area)、拡散、埋込領域(implanted area)、ビア(vias)、接点開口部、高アスペクト比開口部、蓄電板、コンデンサのための障壁など)を形成し、あるいは定めるために、種々の工程ステップが以前より用いられてきた。
本明細書で用いるように、“層”とは、本明細書記載の堆積工程に従って、一種以上の前駆体および/または反応物質から基板上に形成され得る任意の層をあらわす。用語“層”は、障壁層、誘電体層(すなわち、高誘電率を持つ層)、および導電層などの、半導体産業に特異的な層を含む意味であるが、これらに限定されないことは明らかである。用語“層”は、半導体産業で頻繁に用いられる用語“膜”の同義語である。用語“層”は、ガラス上の塗装など、半導体技術の他の技術で見られる層を含むことも意味する。そのような層は、例えば半導体基板以外の基板である、繊維やワイヤーなどの上に直接形成することができる。さらに、層は基板の最下部の半導体表面上に直接形成することができ、あるいは、例えばパターンウェハなどの、任意の種々の層(例えば表面)の上に形成することができる。
層または膜は、還元金属、金属ケイ酸塩、金属酸化物、金属窒化物など、さらにそれらの組み合わせなどの金属含有膜の形で形成されることもある。例えば、金属酸化物層は単一金属を含み、金属酸化物層は二種以上の異なる金属を含み(すなわち混合金属酸化物である)、あるいは金属酸化物層は随意に他の金属でドープされることもある。
もし金属酸化物層が二種以上の異なる金属を含む場合、金属酸化物層は合金、固溶体、またはナノラミネートの形をとることができる。これらは誘電特性を持つことが好ましい。金属酸化物層(特に誘電体層である場合)は、好ましくはBaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Pb[(Sc,Nb)0.575Ti0.425]O3(PSNT)、La2O3、Y2O3、LaAlO3、YAlO3、Pr2O3、Ba(Li,Nb)1/4O3-PbTiO3、およびBa(0.6)Sr(0.4)TiO3-MgOのうちの一種以上を含む。驚くべきことに、本発明に従って形成された金属酸化物層は、基本的に炭素を含まない。本発明のシステムと方法で形成された金属酸化物層は、炭素、水素、ハロゲン化物、リン、硫黄、窒素、もしくはそれらの化合物を基本的に含まないことが好ましい。本明細書で用いるように、“基本的に含まない”とは、金属含有層が上記の不純物を少量は含むかもしれないことを意味するように定義される。例えば、金属酸化物層にとって“基本的に含まない”とは、膜の化学的性質、力学的性質、物理的形状(例えば結晶化度)、または電気的性質にわずかな影響を与えるような、1原子百分率未満の量で上記の不純物が存在することを意味する。
種々の金属含有化合物は、前駆体組成を形成するために、随意に一種以上の有機溶媒と様々に組み合わせて利用できる(特にCVD工程に対して)。有利なことに、本明細書で開示する金属含有化合物のいくつかは、ALDにおいて溶媒を加えることなく利用できる。本明細書で用いるように“前駆体”および“前駆体組成”とは、単独で、あるいは他の前駆体組成(または反応物質)と共に、堆積工程においてアセンブリ基板上の層を形成するために利用可能な組成物をあらわす。さらに、用いられる前駆体の種類と量は、蒸着工程を用いて最終的に形成される層の内容によって決まることが、当業者にはわかるだろう。本発明の好ましい前駆体組成は、気化温度で液体であることが好ましく、より好ましくは、室温で液体であることが好ましい。
前駆体組成は室温で液体または固体である可能性がある(好ましくはこれらは気化温度で液体である)。通常、これらは既知の蒸着技術を用いて使用されるのに十分な揮発性を持った液体である。しかし、これらは既知の蒸着技術を用いて固体状態から気化または昇華され得るのに十分な揮発性を持った固体である可能性もある。もしこれらが揮発性の低い固体である場合、これらがフラッシュ蒸発、バブリング(bubbling)、微液滴形成技術などにおいて利用できるように、有機溶媒に十分に可溶性であるか、あるいは分解温度よりも低い融点を有することが好ましい。
本明細書では、気化した金属含有化合物は、単独で、あるいは必要であれば随意に他の金属含有化合物の蒸発分子と共に、もしくは随意に蒸発した溶媒分子、もしくは不活性ガス分子と共に用いられることがある。本明細書で用いるように、“液体”とは溶液または原液(室温で液体、または高温で融解する室温で固体のもの)をあらわす。本明細書で用いるように、“溶液”は固体の完全な溶解性を要するものではなく、十分量の固体が有機溶媒によって化学蒸着工程の気相内に運ばれる限り、いくらか非溶解固体も許容され得る。もし溶媒希釈が堆積で用いられた場合、生成された溶媒蒸気の総モル濃度は、不活性搬送ガスとしてもみなされ得る。
本明細書で用いるように、“不活性ガス”または“非反応性ガス”は、通常接触する成分と反応しない任意の気体である。例えば、不活性ガスは通常、窒素、アルゴン、ヘリウム、ネオン、クリプトン、キセノン、任意の他の非反応性ガス、およびそれらの混合物を含む群から選択される。そのような不活性ガスは、通常本発明に従って述べた一種以上のパージ工程において用いられ、またいくつかの実施形態では、前駆体蒸気輸送を助けるためにも用いられることがある。
本発明の或る実施形態に適した溶媒は、以下のうちの一種以上である可能性がある。脂肪族炭化水素または不飽和炭化水素(C3-C20、好ましくはC5-C10、環状、分岐、もしくは直鎖)、芳香族炭化水素(C5-C20、好ましくはC5-C10)、ハロゲン化炭化水素、シリル化炭化水素(アルキルシラン、ケイ酸アルキル、エーテル、ポリエーテル、チオエーテル、エステル、ラクトン、二トリル、シリコーン油など)、または上記の任意の組み合わせを含む化合物、または上記の一種以上の混合物。また、化合物は一般に相互に相溶性があり、可変量の金属含有化合物の混合物が、相互作用して物理的性質を著しく変えることがないようになっている。
本発明の前駆体組成は、一種以上の反応気体の存在下で実質的に同時に、随意に気化ならびに堆積/化学吸着されることができる。あるいは金属含有層は、各堆積サイクルの間に前駆体組成と反応気体(一種または複数)を交互に導入することによって形成されることもある。そのような反応気体は通常、酸素、水蒸気、オゾン、酸化窒素、酸化硫黄、水素、硫化水素、セレン化水素、テルル化水素、過酸化水素、アンモニア、有機アミン、ヒドラジン(例えばヒドラジン、メチルヒドラジン、対称および非対称ジメチルヒドラジン)、シラン、ジシランおよび高級シラン、ジボラン、プラズマ、空気、ボラゼン(窒素源)、一酸化炭素(還元剤)、アルコール、およびこれらの気体の任意の組み合わせを含む。例えば、酸素含有源は通常金属‐酸化物層の堆積に用いられる。金属‐酸化物層の形成で用いられる好ましい任意の反応気体は、酸化気体(例えば酸素、オゾン、および酸化窒素)を含む。
本発明の適切な基板材料は、導電体、半導体材料、導電性金属窒化物、導電性金属、導電性金属酸化物などを含む。金属含有層がその上に形成される基板は、半導体基板またはアセンブリ基板であることが好ましい。例えばボロンリンシリケートガラス(BPSG)、例えば伝導的にドープされたポリシリコン、単結晶シリコン、などのシリコン(本発明にとって、ケイ素の適切な形は単に“シリコン”としてあらわされる)といった幅広い種類の半導体材料は、例えばシリコンウェハ、オルトケイ酸テトラエチル(TEOS)酸化物、スピンオンガラス(すなわち随意にドープされ、スピン工程によって堆積されたSiO2の薄層)、TiN、TaN、W、Ru、Al、Cu、貴金属などの形で検討される。アセンブリ基板は、白金、イリジウム、酸化イリジウム、ロジウム、ルテニウム、酸化ルテニウム、ルテニウム酸ストロンチウム、ニッケル酸ランタン、窒化チタン、窒化タンタル、窒化タンタルシリコン、二酸化ケイ素、アルミニウム、ガリウム、ヒ化物、ガラスなど、ならびに、例えばダイナミックランダムアクセスメモリ(DRAM)デバイス、スタティックランダムアクセスメモリ(SRAM)デバイス、および強誘電体メモリ(FERAM)デバイスなどの半導体構造で用いられる、他の既存材料または開発予定材料を含む層も含むことがある。
半導体基板またはアセンブリ基板を含む基板に対して、層は基板の最下部の半導体表面上に直接形成することができ、あるいは例えばパターンウェハなどの任意の種々の層(すなわち表面)の上に形成することができる。
半導体基板またはアセンブリ基板以外の基板も、本発明の方法において利用可能である。金属酸化物層など、その上に金属含有層を有利に形成し得る任意の基板が用いられることもあり、そのような基板は、例えば繊維、ワイヤーなどを含む。
前駆体組成は、必要であれば不活性搬送ガスの存在下で気化させることができる。加えて、不活性搬送ガスはALD工程(下記記載)におけるパージステップで用いることができる。不活性搬送ガスは通常窒素、ヘリウム、アルゴンなどのうちの一種以上である。本発明との関連において、不活性搬送ガスは金属含有層の形成を妨げないようなものである。不活性搬送ガスの存在下で行われるかどうかにかかわらず、層の酸素汚染(例えば二酸化ケイ素を形成するケイ素の酸化、または堆積室に入る前の気相における前駆体の酸化)を避けるために、蒸発は酸素の非存在下で行われることが好ましい。
化学蒸着(CVD)と原子層堆積(ALD)は、薄く連続的で均一な金属含有層を半導体基板上に形成するためにしばしば利用される二種の蒸着工程である。いずれかの蒸着工程を用いて、通常一種以上の前駆体組成は堆積室で気化され、さらに随意に一種以上の反応気体と混合され、さらに基板上に金属含有層を形成するために基板に向けられ、かつ/または基板と接触する。他の技術だけでなく、プラズマ補助、光補助、レーザー補助などの種々の関連技術を用いて蒸着工程が改善され得ることは、当業者にとって容易にわかることであろう。
典型的なCVD工程は、Genus, Inc. (Sunnyvale, CA)から銘柄7000の下で利用可能な堆積室、Applied Materials, Inc. (Santa Clara, CA)から銘柄5000の下で利用可能な堆積室、あるいはNovelus, Inc. (San Jose, CA)から銘柄Prismの下で利用可能な堆積室などの、化学蒸着反応器で行われることがある。しかし、CVDを行うのに適した任意の堆積室が利用され得る。
好ましくは、本発明の方法で用いられる蒸着工程は、多サイクル原子層堆積(ALD)工程である。この工程は特にCVD工程に対して有利であり、複数の自己制限的堆積サイクルを提供することによって、堆積層(例えば誘電体層)に対して、原子レベルの厚みと均一性の制御に改善をもたらす点において有利である。ALDの自己制限的性質は、幅広い種類の反応表面上に膜を堆積させる方法を提供し、このような表面には、例えば不規則地形を有する表面、CVDまたは他の“視線”堆積法(例えば蒸発と物理蒸着、すなわちPVDまたはスパッタリング)と共に利用可能なものよりも良い段差被覆性を有する表面が含まれる。さらに、ALD工程は通常金属含有化合物をより低い蒸発温度と反応温度に晒し、例えば典型的なCVD工程と比べて、前駆体の劣化を減らす傾向がある。
一般に、ALD工程において各反応物質は、適切な基板上に、通常25℃以上、好ましくは150℃以上、より好ましくは200℃以上の堆積温度で連続的にパルス化される。典型的なALD堆積温度は400℃以下で、好ましくは350℃以下、さらにより好ましくは250℃以下である。これらの温度は一般にCVD工程で現在用いられている温度よりも低く、通常150℃以上、好ましくは200℃以上、およびより好ましくは250℃以上の基板表面の堆積温度を含む。典型的なCVD堆積温度は600℃以下、好ましくは500℃以下、さらにより好ましくは400℃以下である。
そのような条件下で、ALDによる被膜成長は通常自己制限的であり(すなわち、表面上の反応部位がALD工程において使い尽くされた時、堆積は通常停止する)、優れた共形性だけでなく、十分に広い範囲の均一性、その上単純で精密な構成と厚みの制御を保証する。前駆体および/または反応気体の連続的な共反応によって行われるCVD工程とは対照的に、前駆体組成および/または反応気体の交互注入によって、有害な気相反応が本質的に除去される(Vehkamaki et al., "Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition," Electrochemical and Solid-State Letters, 2(10):504-506 (1999)を参照)。
典型的なALD工程は、基板(例えば水および/またはオゾンで随意に前処理され得る)を第一化学物質に晒して、基板上への種の化学吸着を完成させることを含む。本明細書で用いるように、用語“化学吸着”は、気化した反応性の金属含有化合物の基板表面上への化学的な吸着をあらわす。吸着種は、普通の化学結合の強さと比較して、高い吸着エネルギー(例えば>30 kcal/mol)に特徴づけられる比較的強い結合力の結果として、通常不可逆的に基板表面に結合する。化学吸着種は通常基板表面上に単分子層を形成する。("The Condensed Chemical Dictionary", 10th edition,改訂G. G. Hawley,出版Van Nostrand Reinhold Co., New York, 225 (1981)を参照)。ALDの技術は、反応前駆体分子の飽和単分子層を化学吸着によって形成する原理に基づいている。ALDにおいては、一種以上の適切な前駆体組成または反応気体が、堆積室に交互に導入され(例えばパルス化されて)、基板の表面上に化学吸着される。反応化合物(例えば一種以上の前駆体組成および一種以上の反応気体)の各連続導入は、通常不活性搬送ガスパージによって隔てられる。各前駆体組成の共反応は、蓄積固体層(cumulative solid layer)を形成するために、既に堆積された層に新しい原子層を追加する。このサイクルは所望の層厚さを徐々に形成するために繰り返される。当然のことながら、ALDは、化学吸着される一種の前駆体組成と、化学吸着種と反応する一種の反応気体とを交互に利用することができる。
実質的に、化学吸着は堆積表面(例えば既に堆積されたALD材料)の全ての部分上では起こらない可能性がある。それでもやはり、そのような不完全な単分子層は本発明との関連においては単分子層とみなされる。多くの用途において、ただ単に実質的に飽和した単分子層が適切であることもある。或る態様では、実質的に飽和した単分子層は、それでもやはり堆積単分子層をもたらすか、あるいは、所望の品質および/または特性を示す材料をそれほどもたらすものではない可能性もある。別の態様では、実質的に飽和した単分子層は、前駆体とのさらなる反応に対して自己制限的なものである。
典型的なALD工程は、初期基板を第一化学種A(例えば本明細書記載の金属含有化合物)に晒し、基板上への種の化学吸着を完成させることを含む。種Aは基板表面または種B(下記記載)のいずれとも反応することができるが、それ自身とは反応できない。通常化学吸着では、種Aの一種以上の配位子は基板表面上の反応基によって置換される。理論上では化学吸着は、均一に一つの原子または分子の厚みである単分子層を、晒された初期基板全体の上に形成し、その単分子層は任意の置換された配位子を差し引いた種Aから成る。言い換えれば、飽和単分子層が実質的に基板表面上に形成される。実質的に、化学吸着は基板の全ての部分上では起こらない可能性がある。それでもやはり、そのような部分的な単分子層は、本発明との関連においては単分子層として理解される。多くの用途において、ただ単に実質的に飽和した単分子層が適切であることもある。実質的に飽和した単分子層は、それでもやはりそのような層に望ましい品質および/または特性を示す堆積層をもたらすものである。
置換配位子だけでなく、第一の種(例えば種Aの実質的に全ての非化学吸着分子)は基板全体からパージされ、さらに第二化学種である種B(例えば異なる金属含有化合物または反応気体)が、種Aの単分子層と反応するために用意される。種Bは通常、種A単分子層から残りの配位子を置換し、それによって化学吸着されて第二単分子層を形成する。この第二単分子層は種Aのみに反応する表面を示す。置換配位子、および他の反応副生成物だけでなく、非化学吸着種Bはその後パージされ、さらにこのステップは種Bの単分子層を蒸発した種Aに晒しながら繰り返される。第二の種は第一の種と随意に反応することができるが、それに加えてさらなる材料を化学吸着することはできない。つまり、第二の種は化学吸着された第一の種のいくらかの部分を開裂させることができ、その上に別の単分子層を形成することなくこうした単分子層を修正するが、それに続く単分子層の形成に利用可能な反応部位を残す。他のALD工程では、導入された種それぞれは、その導入の前に直ちに作り出される単分子層と反応するという理解の下に、第三の種またはそれ以上の種が引き続いて化学吸着され(あるいは反応し)、第一および第二の種でちょうど述べたようにパージされる。随意に、第二の種(または第三またはそれに続く種)は必要に応じて一種以上の反応気体を含むことができる。
従って、ALDの使用は基板上の金属含有層の厚さ、組成、および均一性の制御を改善する能力を提供する。例えば、金属含有化合物の薄層を複数サイクルで堆積させることは、最終的な膜厚のより精密な制御をもたらす。これは前駆体組成が基板に向けられ、その上で化学吸着することができる際に特に有利であり、好ましくはさらに基板上で化学吸着された種と反応する一種以上の反応気体を含み、さらにより好ましくはこのサイクルが一回以上繰り返される。
基板への堆積/化学吸着に続く、各種の余分な気体のパージ(purging)は、基板および/または単分子層を不活性搬送ガスと接触させること、ならびに/あるいは、基板および/または化学吸着種と接触する種の濃度を減らすために、堆積圧以下に圧力を下げることを含む、種々の技術を含み得るが、これらに限定はされない。搬送ガスの例は上記の通りN2、Ar、Heなどを含み得る。さらに、パージ(purging)はその代わり基板および/または単分子層を任意の物質に接触させることを含むこともあり、この物質は、化学吸着副生成物を脱着させることができ、別の種を導入する準備として接触する種の濃度を減らすようなものである。接触する種は、特定の堆積工程の生成物の規格に基づいて、当業者に既知のいくらか適切な濃度または分圧まで減らされることがある。
ALDはしばしば自己制限的工程として説明され、第一の種が化学結合を形成するかもしれない有限数の部位が基板上に存在する。第二の種は第一の種の化学吸着から作られた表面のみと反応し、従ってまた自己制限的である可能性がある。基板上の有限数の部位の全てが第一の種で結合されると、第一の種は既に基板と結合した第一の種以外とは結合しない。しかし、そのような結合を促進し、ALDを自己制限的でない状態にするために、処理条件はALDにおいて変更することができる(例えばパルスCVDに近づける)。従ってALDは、種の積み重ね、一つ以上の原子または分子の厚さの層の形成によって、一度に一種の単分子層以外を形成する種も含むことがある。
記載した方法は、第一前駆体の化学吸着の間における第二前駆体(すなわち第二の種)の“実質的な欠如”を示唆し、これはわずかな量の第二前駆体しか存在しない可能性があるためである。第二前駆体の許容量、および第二前駆体が実質的に存在しないようにするために選択される処理条件については、当業者の知識と選好に従って決定することができる。
従って、ALD工程の間、多数の連続的な堆積サイクルが堆積室で行われ、各サイクルは、関係基板上に所望の厚さの層が構築されるまで、非常に薄い金属含有層(通常、増加率が平均でサイクルあたり0.2から3.0オングストロームである一種以下の単分子層)を堆積する。層堆積は、基板を含む堆積室に前駆体組成(一種または複数)を交互に導入すること(すなわちパルス化によって)、基板表面上に単分子層として前駆体組成(一種または複数)を化学吸着させること、堆積室をパージ(purging)すること、その後、反応気体および/または他の前駆体組成(一種または複数)を、金属含有層の所望の厚さが得られるまで、化学吸着された前駆体組成(一種または複数)に複数の堆積サイクルで導入することによって完成する。本発明の金属含有層の好ましい厚さは、1オングストローム(Å)以上、より好ましくは5Å以上、より好ましくは10Å以上である。さらに、好ましい膜厚は通常500Å以下で、より好ましくは400Å以下で、より好ましくは300Å以下である。
前駆体組成(一種または複数)および不活性搬送ガス(一種または複数)のパルス幅は、一般に基板表面を飽和するのに十分な幅である。通常、パルス幅は0.1以上、好ましくは0.2秒以上、より好ましくは0.5秒以上である。好ましいパルス幅は一般に5秒以下で、および好ましくは3秒以下である。
主に熱駆動のCVDと比較して、ALDは主に化学的に駆動されている。従って、ALDはCVDよりもかなり低い温度で有利に行われ得る。ALD工程の間、基板温度は、化学吸着された前駆体組成(一種または複数)と下方に存在する基板表面との間の完全な結合を維持し、前駆体組成(一種または複数)の分解を防ぐために十分低い温度で維持され得る。一方で温度は、前駆体組成(一種または複数)の凝縮を避けるために十分高くなければならない。通常、基板は25℃以上、好ましくは150℃以上、より好ましくは200℃以上の温度で維持される。通常基板は400℃以下、好ましくは300℃以下、より好ましくは250℃以下の温度で維持され、これは上記の通り、典型的なCVD工程で現在用いられる温度よりも一般に低い。従って、第一の種または前駆体組成はこの温度で化学吸着される。第二の種または前駆体組成の表面反応は、第一前駆体の化学吸着と実質的に同じ温度で、あるいは随意に、しかしより好ましくはないが、実質的に異なる温度で起こり得る。明らかに、当業者に判断されるように、温度におけるいくらか小さな違いが起こり得るが、第一前駆体の化学吸着温度で起こり得るものと統計的に同じ反応速度を提供することによって、それでもやはり実質的に同じ温度とみなされる。あるいは、化学吸着とそれに続く反応は、その代わりに実質的に全く同じ温度で起こり得る。
典型的な蒸着工程では、堆積室内の圧力は10-8トール(1.3 x 10-6 Pa)以上、好ましくは10-7トール(1.3 x 10-5 Pa)以上、より好ましくは10-6トール(1.3 x 10-4 Pa)以上である。さらに、堆積圧は通常10トール(1.3 x 103 Pa)以下、好ましくは1トール(1.3 x 102 Pa)以下、より好ましくは10-1トール(13 Pa)以下である。通常、堆積室は、気化した前駆体組成(一種または複数)が試験槽に導入された後、および/または各サイクルで反応した後、不活性搬送ガスでパージされる。不活性搬送ガス(一種または複数)は、各サイクルの間に気化した前駆体組成(一種または複数)と共に導入されることもできる。
前駆体組成の反応性はALDの処理変数に著しく影響を与えることができる。典型的なCVD処理条件下では、反応性の高い化合物は気相内で反応し、微粒子を生成し、望ましくない表面上に早期に堆積し、質の悪い膜を生成し、および/または悪い段差被覆率をもたらし、あるいはそうでなければ不均一な堆積をもたらす。少なくともこのような理由のために、反応性の高い化合物はCVDには適さないとみなされることがある。しかし、CVDに適さないいくつかの化合物は優れたALD前駆体である。例えば、もし第一前駆体が第二前駆体と反応する気相ならば、そのような化合物の組み合わせはCVDには適さないかもしれないが、ALDにおいては利用することができる。CVDとの関連において、気相反応性の高い前駆体を用いる際、当業者に周知のように、付着係数と表面移動度の点でも懸念が存在し得るが、しかしALDとの関連においてはそのような懸念はほとんど存在しないか、あるいは皆無である。
基板上の層形成の後、還元雰囲気、不活性雰囲気、プラズマ雰囲気、または酸化雰囲気において、堆積室でin situにアニーリング処理が随意に行われることもある。好ましくは、アニーリング温度は400℃以上、より好ましくは600℃以上である。アニーリング温度は好ましくは1000℃以下、より好ましくは750℃以下、さらにより好ましくは700℃以下である。
アニーリング操作は好ましくは0.5分以上、より好ましくは1分以上の時間で行われる。さらに、アニーリング操作は好ましくは60分以下、より好ましくは10分以下の時間で行われる。
当業者は、そのような温度と時間は変更する可能性があることがわかるだろう。例えば、炉アニールと高速熱アニーリングが用いられ、さらに、こうしたアニールは一つ以上のアニーリングステップで行われる可能性がある。
上述の通り、本発明の化合物の利用と膜形成の方法は、半導体構造、特に高誘電性材料を用いるものにおいて、幅広い種類の薄膜利用に有益である。例えば、そのような利用はゲート誘電体、および平面セル、トレンチセルなどのコンデンサ(例えば二重側壁トレンチコンデンサ)、スタックセル(例えばクラウンコンデンサ、V-セルコンデンサ、デルタセルコンデンサ、多指コンデンサ(multi-fingered)、または円筒容器スタックコンデンサ)、さらに電界効果トランジスタデバイスを含む。
本発明の原子層堆積工程を行うのに利用可能なシステムを図1に示した。システムは密閉された蒸着室 10 を含み、その中にターボポンプ 12 とバッキングポンプ 14 を用いて真空が作られ得る。一つ以上の基板 16 (例えば半導体基板またはアセンブリ基板)は蒸着室 10 に配置されている。一定の公称温度が基板 16 に設定され、これは用いられる処理によって変化する可能性がある。基板 16 は、例えば電気抵抗加熱器 18 によって加熱され、基板 16 がその上にのっている。基板を加熱する他の既知の方法も利用されることがある。
この工程では、本明細書記載の前駆体組成(一種または複数) 60 および/または 61 は、容器 62 に格納されている。前駆体組成(一種または複数)は気化され、例えば不活性搬送ガス 68 を用いて、ライン 64 および 66 に沿って堆積室 10 へ分けて供給される。必要に応じて反応気体 70 がライン 72 に沿って供給されることもある。また、不活性搬送ガス 68 としばしば同じであるパージガス 74 が、必要に応じてライン 76 に沿って供給されることもある。示した通り、一組の弁 80-85 は必要に応じて開閉される。
図2は、例示的なコンデンサ構造で用いられる本発明の金属含有層のALD形成の例を示す。図2を参照すると、コンデンサ構造 200 は、その中に導電性拡散領域 215 を形成した基板 210 を含む。基板 210 は例えばシリコンを含むことができる。BPSGなどの絶縁層 260 が基板 210 の上に備わり、その中に接点開口部 280 が拡散領域 215 に対して備わっている。導電体 290 は接点開口部 280 を満たし、さらに例えばタングステンまたは伝導的にドープされたポリシリコンを含むこともある。コンデンサ構造 200 は、第一コンデンサ電極(底面電極) 220 、本発明の方法によって形成され得る誘電体層 240 、ならびに第二コンデンサ電極(上部電極) 250 を含む。
図2は例示的な構造であり、本発明の方法は任意の基板、好ましくは半導体構造の上に層を形成するために役立ち、ならびに、こうした応用は平面セル、トレンチセル(例えば二重側壁トレンチコンデンサ)、スタックセル(例えばクラウンコンデンサ、V-セルコンデンサ、デルタセルコンデンサ、多指コンデンサ、または円筒容器スタックコンデンサ)、さらに電界効果トランジスタ装置などのコンデンサを含む、ということを理解されるべきである。
さらに拡散障壁層が誘電体層 240 の上に随意に形成されることがあり、また例えば、TiN、TaN、金属シリサイド、または窒化金属シリサイドを含むことがある。拡散障壁層は個別の層として記載されるが、当然のことながら障壁層は導電体を含む可能性があり、従ってそのような実施形態では、少なくともコンデンサ電極の部分を含むことが理解できる。拡散障壁層を含む或る実施形態では、コンデンサ電極全体は導電性の障壁層材料を含むことができる。
以下の実施例は本発明の様々な特定の実施形態と技術をさらに説明するために提供される。しかし当然のことながら、当業者に理解される多くの変更と修正が、本発明の範囲内にとどまりながら作られる可能性がある。従って、本発明の範囲は以下の実施例によって制限されるものではない。他に特に定めない限り、実施例に示される全ての百分率は重量百分率である。
[実施例1]:ストロンチウム酸化物層を形成するための、構造式Iの金属含有化合物(M=Sr(n=2);R1=R5=tert-ブチル基;R2=R4=メチル基;R3=H;x=2;かつz=0)の原子層堆積
ストロンチウム酸化物層を、205℃の基板温度と10-4トール(1.3 x 10-2 Pa)の圧力で、上記記載のストロンチウム含有化合物(127℃バブラー(bubbler)温度;154℃バブラー管温度)とオゾン(O3:酸素内11%で100標準立方センチメートル毎分(sccm))の交互パルスを用いたALDによって、むき出しのシリコン上に堆積させた。各サイクルは、2秒のストロンチウム前駆体ドーズ(dose)、30秒のポンプダウン(pump down)、1秒のオゾンドーズ、および60秒のポンプダウンを含んだ。膜は218サイクルを用いて堆積され、おおよそ250Åの厚みのストロンチウム酸化物層が得られた。
[実施例2]:チタン酸ストロンチウム層を形成するための、構造式Iの金属含有化合物(M=Sr(n=2);R1=R5=tert-ブチル基;R2=R4=メチル基;R3=H;x=2;かつz=0)の原子層堆積
チタン酸ストロンチウム層を、200℃の基板温度と10-4トール(1.3 x 10-2 Pa)の圧力で、水(環境バブラー(bubbler)温度;50℃バブラー管温度)、テトラキスチタンイソプロポキシド(60℃バブラー温度;112℃バブラー管温度)、オゾン(O3:酸素内11%で100 sccm)、および上記記載のストロンチウム含有化合物(127℃のバブラー温度;137℃のバブラー管温度)の交互パルスを用いたALDによって、物理蒸着(PVDまたはスパッタ)Pt基板上に堆積させた。各チタン順序は0.5秒の水ドーズ(dose)、15秒のポンプダウン(pump down)、1秒のチタン前駆体ドーズ、および15秒のポンプダウンを含んだ。各ストロンチウム順序は、5秒のオゾンドーズ、30秒のポンプダウン、2秒のストロンチウム前駆体ドーズ、および30秒のポンプダウンを含んだ。各サイクルは2チタン順序と1ストロンチウム順序を含んだ。膜は250サイクルを用いて堆積され、おおよそ250Åの厚みのチタン酸ストロンチウム層が得られた。
[実施例3]:チタン酸ストロンチウム層を形成するための、構造式Iの金属含有化合物(M=Sr(n=2);R1=R5=イソプロピル基;R2=R4=メチル基;R3=H;x=2;かつz=0)の原子層堆積
チタン酸ストロンチウム層を、208℃の基板温度と10-4トール(1.3 x 10-2 Pa)の圧力で、オゾン(O3:酸素内11%で450 sccm)、テトラキスチタンイソプロポキシド(60℃バブラー温度;110℃バブラー管温度)、オゾン(O3:酸素内11%で450 sccm)、および上記記載のストロンチウム含有化合物(105℃のバブラー温度;123℃のバブラー管温度)の交互パルスを用いたALDによって、PVD Pt基板上に堆積させた。各チタン順序は5秒のオゾンドーズ(dose)、30秒のポンプダウン(pump down)、1秒のチタン前駆体ドーズ、および15秒のポンプダウンを含んだ。各ストロンチウム順序は、5秒のオゾンドーズ、30秒のポンプダウン、2秒のストロンチウム前駆体ドーズ、および30秒のポンプダウンを含んだ。各サイクルは1チタン順序と2ストロンチウム順序を含んだ。膜は100サイクルを用いて堆積され、おおよそ50Åの厚みのチタン酸ストロンチウム層が得られ、これはおおよそ29%のSrと12%のTiを含むことが分析された。
[実施例4]:チタン酸ストロンチウム層を形成するための、構造式Iの金属含有化合物(M=Sr(n=2);R1=R5=イソプロピル基;R2=R4=メチル基;R3=H;x=2;かつz=0)の原子層堆積
チタン酸ストロンチウム層を、212-215℃の基板温度と10-4トール(1.3 x 10-2 Pa)の圧力で、オゾン(O3:酸素内11%で450 sccm)、テトラキスチタンイソプロポキシド(60℃バブラー温度;110℃バブラー管温度)、オゾン(O3:酸素内11%で450 sccm)、および上記記載のストロンチウム含有化合物(105℃のバブラー温度;137℃のバブラー管温度)の交互パルスを用いたALDによって、PVD Pt基板上に堆積させた。各チタン順序は5秒のオゾンドーズ(dose)、30秒のポンプダウン(pump down)、1秒のチタン前駆体ドーズ、および15秒のポンプダウンを含んだ。各ストロンチウム順序は、5秒のオゾンドーズ、30秒のポンプダウン、2秒のストロンチウム前駆体ドーズ、および30秒のポンプダウンを含んだ。各サイクルは2チタン順序と1ストロンチウム順序を含んだ。膜は250サイクルを用いて堆積され、おおよそ100Åの厚みのチタン酸ストロンチウム層が得られ、これはおおよそ19%のSrと21%のTiを含むことが分析された。
[実施例5]:チタン酸ストロンチウム層を形成するための、構造式Iの金属含有化合物(M=Sr(n=2);R1=R5=tert-ブチル基;R2=R4=メチル基;R3=H;x=2;かつz=0)の原子層堆積
チタン酸ストロンチウム層を、200℃の基板温度と10-4トール(1.3 x 10-2 Pa)の圧力で、オゾン(O3:酸素内11%で450 sccm)、テトラキスチタンイソプロポキシド(60℃バブラー温度;90℃バブラー管温度)、オゾン(O3:酸素内11%で450 sccm)、および上記記載のストロンチウム含有化合物(136℃のバブラー温度;153℃のバブラー管温度)の交互パルスを用いたALDによって、PVD Pt基板上に堆積させた。各チタン順序は5秒のオゾンドーズ(dose)、30秒のポンプダウン(pump down)、1秒のチタン前駆体ドーズ、および15秒のポンプダウンを含んだ。各ストロンチウム順序は、5秒のオゾンドーズ、30秒のポンプダウン、2秒のストロンチウム前駆体ドーズ、および30秒のポンプダウンを含んだ。各サイクルは2チタン順序と1ストロンチウム順序を含んだ。膜は250サイクルを用いて堆積され、おおよそ200Åの厚みのチタン酸ストロンチウム層が得られ、これはおおよそ21%のSrと19%のTiを含むことが分析された。
[実施例6]:チタン酸ストロンチウム層を形成するための、構造式Iの金属含有化合物(M=Sr(n=2);R1=R5=tert-ブチル基;R2=R4=メチル基;R3=H;x=2;かつz=0)の原子層堆積
チタン酸ストロンチウム層を、220℃の基板温度と10-4トール(1.3 x 10-2 Pa)の圧力で、オゾン(O3:酸素内11%で450 sccm)、テトラキスチタンイソプロポキシド(60℃バブラー温度;95℃バブラー管温度)、オゾン(O3:酸素内11%で450 sccm)、および上記記載のストロンチウム含有化合物(136℃のバブラー温度;151℃のバブラー管温度)の交互パルスを用いたALDによって、PVD Pt基板上に堆積させた。各チタン順序は5秒のオゾンドーズ(dose)、30秒のポンプダウン(pump down)、1秒のチタン前駆体ドーズ、および15秒のポンプダウンを含んだ。各ストロンチウム順序は、5秒のオゾンドーズ、30秒のポンプダウン、2秒のストロンチウム前駆体ドーズ、および30秒のポンプダウンを含んだ。各サイクルは1チタン順序と1ストロンチウム順序を含んだ。膜は300サイクルを用いて堆積され、おおよそ200Åの厚みのチタン酸ストロンチウム層が得られ、これはおおよそ29%のSrと12%のTiを含むことが分析された。
本明細書引用の特許、特許文献、および出版物の完全な開示は、それぞれが個別に組み込まれるように、その全体が参照によって組み込まれる。本発明に対する種々の変更と修正は、本発明の範囲と趣旨から逸脱することなく当業者に明らかとなるだろう。当然のことながら本発明は、本明細書で説明した実施形態と実施例に過度に制限されるものではなく、また、そのような実施例と実施形態は、以下の通り本明細書で説明する請求項によってのみ制限される、発明の範囲内のみの実施例としてあらわされる。
図1は、本発明の方法における使用に適した蒸着システムの概略図である。 図2は、本発明のシステムと方法を用いて形成されるコンデンサ構造の例である。

Claims (51)

  1. 基板上に金属含有層を形成する方法であり、前記方法は、
    基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I)
    ここで式中のMは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であることを特徴とし、
    一種類以上の反応気体を用意することと、
    前記構造式Iの化合物を一種以上含む前記気体を前記基板に接触させ、複数の堆積サイクルを含む原子層堆積工程を用いて、前記基板の一つ以上の表面上に金属含有層を形成すること、
    を含む、金属含有層の形成方法。
  2. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項1の方法。
  3. R1=R5、かつR2=R4である請求項1の方法。
  4. R1=R5=イソプロピル基である請求項3の方法。
  5. R1=R5=tert-ブチル基である請求項3の方法。
  6. R2=R4=メチル基、かつR3=Hである請求項3の方法。
  7. R1=R5=イソプロピル基である請求項6の方法。
  8. R1=R5=tert-ブチル基である請求項6の方法。
  9. 以下の一つ以上があてはまる請求項1の方法であり、R1がR5と異なるか、あるいはR2がR4と異なる。
  10. R1=イソプロピル基、かつR5=tert-ブチル基である請求項9の方法。
  11. R2=R4=メチル基、かつR3=Hである請求項9の方法。
  12. R1=イソプロピル基、かつR5=tert-ブチル基である請求項11の方法。
  13. ここで一つ以上のLは、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせから成る群から独立に選択されることを特徴とする、請求項1の方法。
  14. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基であることを特徴とする、請求項13の方法。
  15. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子と異なる構造を持つβ‐ジケチミナート基であることを特徴とする、請求項13の方法。
  16. 一つ以上のYが、カルボニル基、ニトロシル基、アンモニア、アミン、窒素、ホスフィン、アルコール、水、テトラヒドロフラン、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項1の方法。
  17. 前記一種以上の反応気体が、酸素、水蒸気、オゾン、アルコール、酸化窒素、酸化硫黄、水素、硫化水素、セレン化水素、テルル化水素、過酸化水素、アンモニア、有機アミン、シラン、ジシラン、高級シラン、ジボラン、プラズマ、空気、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項1の方法。
  18. 構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記基板に接触させることをさらに含む、請求項1の方法。
  19. 前記構造式Iと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項18の方法。
  20. 前記金属含有層が、BaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Pb[(Sc,Nb)0.575Ti0.425]O3(PSNT)、La2O3、Y2O3、LaAlO3、YAlO3、Pr2O3、Ba(Li,Nb)1/4O3-PbTiO3、Ba(0.6)Sr(0.4)TiO3-MgO、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項1の方法。
  21. 半導体構造の製造方法であり、前記方法は、
    半導体基板またはアセンブリ基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であることを特徴とし、
    構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、
    前記構造式Iの化合物を一種以上含む前記気体と、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体とを、前記半導体基板またはアセンブリ基板に向け、複数の堆積サイクルを含む原子層堆積工程を用いて前記半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成すること、
    を含む、半導体構造の製造方法。
  22. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項21の方法。
  23. 一種以上の反応気体を用意することをさらに含む、請求項21の方法。
  24. 前記一種以上の反応気体が、酸素、水蒸気、オゾン、アルコール、酸化窒素、酸化硫黄、水素、硫化水素、セレン化水素、テルル化水素、過酸化水素、アンモニア、有機アミン、シラン、ジシラン、高級シラン、ジボラン、プラズマ、空気、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項23の方法。
  25. 前記一種以上の反応気体がオゾンおよび酸素から成る群から選択される、請求項23の方法。
  26. 前記金属含有層が金属酸化物層である、請求項21の方法。
  27. 前記金属含有層が1Åから500Åの厚さを持つ、請求項21の方法。
  28. 前記金属含有層が誘電体層である、請求項21の方法。
  29. 前記構造式Iと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項21の方法。
  30. 前記金属含有層が、BaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Ba(Li,Nb)1/4O3-PbTiO3、Ba(0.6)Sr(0.4)TiO3-MgO、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項29の方法。
  31. 前記原子層堆積工程の間に、前記構造式Iの化合物を一種以上含む前記気体と、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体とを、各堆積サイクルの間に交互に導入することによって、前記金属含有層が形成されることを特徴とする、請求項21の方法。
  32. 半導体構造の製造方法であり、前記方法は、
    半導体基板またはアセンブリ基板を原子層堆積室の内部に用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であることを特徴とし、
    構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、
    前記構造式Iの化合物を一種以上含む前記気体を前記半導体基板またはアセンブリ基板に向け、かつ、前記一種以上の化合物を前記半導体基板またはアセンブリ基板の一つ以上の表面に化学吸着させることと、
    前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記半導体基板またはアセンブリ基板に向け、かつ、前記構造式Iと異なる一種以上の化合物を前記半導体基板またはアセンブリ基板の一つ以上の表面に化学吸着させ、前記半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成すること
    を含む、半導体構造の製造方法。
  33. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項32の方法。
  34. 一種以上の反応気体をさらに含む、請求項32の方法。
  35. 前記構造式Iの化合物を一種以上含む前記気体を前記半導体基板またはアセンブリ基板に向け、かつ前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記半導体基板またはアセンブリ基板に向けることが、一回以上繰り返されることを特徴とする、請求項32の方法。
  36. 前記半導体基板またはアセンブリ基板の温度が25℃から400℃である、請求項32の方法。
  37. 前記半導体基板またはアセンブリ基板を含む前記原子層堆積室が、10-8トール(1.3 x 10-6 Pa)から10トール(1.3 x 103 Pa)の圧力を持つことを特徴とする、請求項32の方法。
  38. 前記半導体基板またはアセンブリ基板上への前記化合物の化学吸着の後、前記構造式Iの化合物を一種以上含む過剰気体を、前記堆積室からパージすることをさらに含む、請求項32の方法。
  39. パージが不活性ガスを用いたパージを含む、請求項38の方法。
  40. 前記不活性ガスが窒素、ヘリウム、アルゴン、およびそれらの混合物から成る群から選択されることを特徴とする、請求項39の方法。
  41. 記憶デバイス構造の製造方法であり、前記方法は、
    その上に第一電極を有する基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I)
    ここで式中のMは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、
    前記構造式Iの化合物を一種以上含む前記気体を前記基板に接触させ、前記化合物を前記基板の前記第一電極上に化学吸着させることと、
    一種以上の反応気体を用意することと、
    前記一種以上の反応気体を、その上に前記化合物を化学吸着させた前記基板に接触させ、前記基板の前記第一電極上に誘電体層を形成することと、
    前記誘電体層上に第二電極を形成すること
    を含む、記憶装置構造の製造方法。
  42. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項41の方法。
  43. 前記構造式Iの化合物を一種以上含む前記気体が非反応性ガスをさらに含む、請求項41の方法。
  44. 前記非反応性ガスが窒素、ヘリウム、アルゴン、およびそれらの混合物から成る群から選択される、請求項43の方法。
  45. 構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記基盤に接触させることをさらに含む、請求項41の方法。
  46. 原子層蒸着システムであり、
    その中に基板を配置した堆積室と、
    (構造式I)の化合物を一種以上含む一つ以上の容器を含み、
    (構造式I):
    ここで式中のMは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であることを特徴とする、
    原子層蒸着システム。
  47. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項46のシステム。
  48. さらに一種以上の反応気体源を一つ以上含む、請求項46のシステム。
  49. さらに不活性ガス源を一つ以上含む、請求項46のシステム。
  50. さらに構造式Iと異なる一種以上の金属含有化合物を含む容器を一つ以上含む、請求項46のシステム。
  51. 前記構造式Iと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Alおよびそれらの組み合わせから成る群から選択されることを特徴とする、請求項50のシステム。
JP2008519486A 2005-06-28 2006-06-27 アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積 Active JP5003978B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/168,160 2005-06-28
US11/168,160 US7416994B2 (en) 2005-06-28 2005-06-28 Atomic layer deposition systems and methods including metal beta-diketiminate compounds
PCT/US2006/024995 WO2007002672A2 (en) 2005-06-28 2006-06-27 Atomic layer deposition using alkaline earth metal beta-diketiminate precursors

Publications (3)

Publication Number Publication Date
JP2008545277A true JP2008545277A (ja) 2008-12-11
JP2008545277A5 JP2008545277A5 (ja) 2009-07-30
JP5003978B2 JP5003978B2 (ja) 2012-08-22

Family

ID=37338887

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008519486A Active JP5003978B2 (ja) 2005-06-28 2006-06-27 アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積

Country Status (8)

Country Link
US (3) US7416994B2 (ja)
EP (2) EP2290126B1 (ja)
JP (1) JP5003978B2 (ja)
KR (1) KR101274330B1 (ja)
CN (1) CN101208456A (ja)
AT (1) ATE509134T1 (ja)
TW (1) TWI398543B (ja)
WO (1) WO2007002672A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2009041219A1 (ja) * 2007-09-04 2011-01-20 東京エレクトロン株式会社 Sr−Ti−O系膜の成膜方法および記憶媒体
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2012524406A (ja) * 2009-04-15 2012-10-11 マイクロン テクノロジー, インク. 相変化材料の形成方法ならびに相変化メモリ回路の形成方法

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US7572731B2 (en) * 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7439338B2 (en) * 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
DE102005033579A1 (de) * 2005-07-19 2007-01-25 H.C. Starck Gmbh Verfahren zur Herstellung dünner Hafnium- oder Zirkonnitrid-Schichten
JP4708905B2 (ja) * 2005-08-05 2011-06-22 イビデン株式会社 薄膜エンベディッドキャパシタンス、その製造方法、及びプリント配線板
WO2008088563A2 (en) * 2007-01-17 2008-07-24 Advanced Technology Materials, Inc. Precursor compositions for ald/cvd of group ii ruthenate thin films
US7892964B2 (en) * 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
JP5248025B2 (ja) * 2007-03-01 2013-07-31 東京エレクトロン株式会社 SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
WO2009012341A2 (en) * 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
WO2009086263A1 (en) * 2007-12-28 2009-07-09 Sigma-Aldrich Co. Methods for preparing thin films using substituted pyrrolyl-metal precursors
US20100003532A1 (en) * 2008-06-06 2010-01-07 Feist Benjamin J Beta-diketiminate precursors for metal containing film deposition
US8471049B2 (en) 2008-12-10 2013-06-25 Air Product And Chemicals, Inc. Precursors for depositing group 4 metal-containing films
US8663735B2 (en) * 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
US8003521B2 (en) 2009-04-07 2011-08-23 Micron Technology, Inc. Semiconductor processing
US7939442B2 (en) * 2009-04-10 2011-05-10 Micron Technology, Inc. Strontium ruthenium oxide interface
JP2012124322A (ja) * 2010-12-08 2012-06-28 Elpida Memory Inc 半導体記憶装置の製造方法
WO2012138332A1 (en) * 2011-04-06 2012-10-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hafnium-containing or zirconium-containing precursors for vapor deposition
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
KR102168174B1 (ko) 2014-03-19 2020-10-20 삼성전자주식회사 니켈 화합물 및 이를 이용한 박막 형성 방법
KR102282139B1 (ko) * 2015-05-12 2021-07-28 삼성전자주식회사 반도체 장치
KR102314722B1 (ko) 2015-05-27 2021-10-20 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
CN109402608B (zh) * 2017-08-16 2020-12-08 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11155209B2 (en) * 2019-08-22 2021-10-26 Micron Technology, Inc. Virtual mirror with automatic zoom based on vehicle sensors
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
CN117904593B (zh) * 2024-03-15 2024-05-17 上海谱俊科技有限公司 金属工件、金属表面复合涂层及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004023043A (ja) * 2002-06-20 2004-01-22 Toshiba Corp 成膜方法、成膜装置、および半導体装置の製造方法
WO2004094689A2 (en) * 2003-04-16 2004-11-04 E. I. Du Pont De Nemours And Company Volatile copper(i) complexes for deposition of copper films by atomic layer deposition
JP2005515256A (ja) * 2002-01-18 2005-05-26 アイシー イノベーションズ リミテッド 配位錯体
JP2005520053A (ja) * 2002-01-18 2005-07-07 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 原子層堆積によって銅薄膜を堆積させるための揮発性銅(ii)錯体

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4202889C2 (de) 1992-02-01 1994-12-15 Solvay Deutschland Verfahren zur Abscheidung von ein Metall der ersten Übergangsmetallreihe oder Aluminium enthaltenden Schichten und 1,3-Diketiminato-Metall-Verbindungen
US5256244A (en) * 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
KR100418581B1 (ko) 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
KR100418569B1 (ko) 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
JP2003209317A (ja) * 2002-01-11 2003-07-25 Oki Electric Ind Co Ltd 半導体レーザモジュール
US20050227007A1 (en) * 2004-04-08 2005-10-13 Bradley Alexander Z Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US7020981B2 (en) * 2003-10-29 2006-04-04 Asm America, Inc Reaction system for growing a thin film
US7416994B2 (en) * 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7439338B2 (en) 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7572731B2 (en) * 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005515256A (ja) * 2002-01-18 2005-05-26 アイシー イノベーションズ リミテッド 配位錯体
JP2005520053A (ja) * 2002-01-18 2005-07-07 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 原子層堆積によって銅薄膜を堆積させるための揮発性銅(ii)錯体
JP2004023043A (ja) * 2002-06-20 2004-01-22 Toshiba Corp 成膜方法、成膜装置、および半導体装置の製造方法
WO2004094689A2 (en) * 2003-04-16 2004-11-04 E. I. Du Pont De Nemours And Company Volatile copper(i) complexes for deposition of copper films by atomic layer deposition

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2009041219A1 (ja) * 2007-09-04 2011-01-20 東京エレクトロン株式会社 Sr−Ti−O系膜の成膜方法および記憶媒体
JP5678252B2 (ja) * 2007-09-04 2015-02-25 東京エレクトロン株式会社 Sr−Ti−O系膜の成膜方法
JP2012517711A (ja) * 2009-02-11 2012-08-02 スコット アレクサンダー ブッチャー ケネス マイグレーション及びプラズマ増強化学蒸着
JP2012524406A (ja) * 2009-04-15 2012-10-11 マイクロン テクノロジー, インク. 相変化材料の形成方法ならびに相変化メモリ回路の形成方法
US8697486B2 (en) 2009-04-15 2014-04-15 Micro Technology, Inc. Methods of forming phase change materials and methods of forming phase change memory circuitry
US9269900B2 (en) 2009-04-15 2016-02-23 Micron Technology, Inc. Methods of depositing phase change materials and methods of forming memory

Also Published As

Publication number Publication date
EP2290126A3 (en) 2011-10-12
WO2007002672A2 (en) 2007-01-04
EP1907600B1 (en) 2011-05-11
TW200704814A (en) 2007-02-01
ATE509134T1 (de) 2011-05-15
KR20080021709A (ko) 2008-03-07
JP5003978B2 (ja) 2012-08-22
WO2007002672A9 (en) 2008-09-18
EP1907600A2 (en) 2008-04-09
US20100186668A1 (en) 2010-07-29
US7416994B2 (en) 2008-08-26
US20080280455A1 (en) 2008-11-13
US20060292841A1 (en) 2006-12-28
US7709399B2 (en) 2010-05-04
US8188464B2 (en) 2012-05-29
TWI398543B (zh) 2013-06-11
EP2290126A2 (en) 2011-03-02
EP2290126B1 (en) 2014-02-12
WO2007002672A3 (en) 2007-02-22
CN101208456A (zh) 2008-06-25
KR101274330B1 (ko) 2013-06-13

Similar Documents

Publication Publication Date Title
JP5003978B2 (ja) アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積
JP5029927B2 (ja) 基板上に金属含有層を形成するための蒸着法
US6958300B2 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7410918B2 (en) Systems and methods for forming metal oxides using alcohols
US7300873B2 (en) Systems and methods for forming metal-containing layers using vapor deposition processes
US7030042B2 (en) Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6984592B2 (en) Systems and methods for forming metal-doped alumina
JP5181292B2 (ja) 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法
US20070295273A1 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090603

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090603

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090603

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20111219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111219

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120117

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120410

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120509

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150601

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5003978

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250