JP2008502806A - Barrier layer surface treatment method enabling direct copper plating on barrier metal - Google Patents

Barrier layer surface treatment method enabling direct copper plating on barrier metal Download PDF

Info

Publication number
JP2008502806A
JP2008502806A JP2007527630A JP2007527630A JP2008502806A JP 2008502806 A JP2008502806 A JP 2008502806A JP 2007527630 A JP2007527630 A JP 2007527630A JP 2007527630 A JP2007527630 A JP 2007527630A JP 2008502806 A JP2008502806 A JP 2008502806A
Authority
JP
Japan
Prior art keywords
plating
copper
substrate
group viii
current density
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007527630A
Other languages
Japanese (ja)
Inventor
ジーウェン サン
レンレン ヘー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008502806A publication Critical patent/JP2008502806A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

銅のシード層を用いずに、直接銅メッキを可能にするバリア層表面処理の方法の実施形態が記載されている。一実施形態において、上部に第VIII族金属層を備えた基板上に銅をメッキする方法は、第VIII族金属表面酸化層及び/又は表面汚染物を取り除くことにより基板表面を前処理し、前処理された第VIII族金属表面上に銅をメッキすることとを含む。基板を前処理することは、水素含有ガス環境及び/又は第VIII族と反応しないガスを伴う環境内で基板をアニール処理することにより、酸含有槽内の陰極処理により又は酸含有槽内に基板を浸すことにより、実行可能である。
Embodiments of barrier layer surface treatment methods that allow direct copper plating without the use of a copper seed layer are described. In one embodiment, a method of plating copper on a substrate with a Group VIII metal layer thereon pre-treats the substrate surface by removing a Group VIII metal surface oxide layer and / or surface contaminants, Plating copper on the treated Group VIII metal surface. Pretreating the substrate includes annealing the substrate in a hydrogen-containing gas environment and / or an environment with a gas that does not react with Group VIII, by cathodic treatment in an acid-containing vessel, or in a substrate containing an acid-containing vessel. It is feasible by soaking.

Description

本発明の分野Field of the invention

本発明の実施形態は、一般にバリア金属上への直接の銅メッキを可能にするバリア層表面処理のための方法に関する。   Embodiments of the present invention generally relate to a method for barrier layer surface treatment that allows direct copper plating on the barrier metal.

背景技術の説明Background art description

サブクオーターミクロンの多層金属技術は、超大規模集積回路(VLSI)及び超々大規模集積(ULSI)半導体デバイスの次世代の先端技術の1つである。この技術の中心にある多層相互接続は、コンタクト、ビア、ライン、及び高アスペクト比開口部内に形成される他の形体の充填を必要とする。これらの構成の確実な形成は、VLSI及びULSIの成功のために、同様に回路密度並びに個々の基板及び半導体チップの品質を高めるための継続した試みのために、極めて重要である。   Sub-quarter micron multi-layer metal technology is one of the next generation advanced technologies for ultra-large scale integrated circuit (VLSI) and ultra super large scale integrated (ULSI) semiconductor devices. Multilayer interconnects at the heart of this technology require the filling of contacts, vias, lines, and other features that are formed in high aspect ratio openings. The reliable formation of these configurations is crucial for the success of VLSI and ULSI, as well as for continued efforts to increase circuit density and the quality of individual substrates and semiconductor chips.

回路密度が増加するにつれ、コンタクト、ビア、ライン、及び他の形体、同様にそれらの間の絶縁材料の幅は、約65nm以下に減少し、しかしながら、絶縁層の厚さは、実質的に一定のままであり、その結果、形状に対するアスペクト比、即ち幅で割った高さが増加する。多くの従来の堆積技術プロセスは、アスペクト比が6:1を超える構造を一貫しては充填せず、特にアスペクト比が10:1を超える時がそうである。そのようなものとして、形体幅に対する形体高さの比が6:1又はより高い高アスペクト比を有する、ボイドフリー、ナノメートルサイズの構造の形成に向けられた、数多くの継続した試みがある。   As circuit density increases, the width of contacts, vias, lines, and other features, as well as the insulating material between them, decreases to about 65 nm or less, however, the thickness of the insulating layer is substantially constant. As a result, the aspect ratio to the shape, ie the height divided by the width, increases. Many conventional deposition technology processes do not consistently fill structures with aspect ratios greater than 6: 1, especially when aspect ratios exceed 10: 1. As such, there are a number of ongoing attempts towards the formation of void-free, nanometer-sized structures with a high aspect ratio of feature height to feature width of 6: 1 or higher.

加えて、形体幅が減少する時、デバイス電流は概して一定のままである又は増加し、その結果そのような形体に対して電流密度は増加する。アルミニウムが、認められた低電気抵抗性、大抵の絶縁材料に対する優れた固着力、及びパターンニングの容易さを有し、並びに高純度状態のアルミニウムが容易に入手可能である理由で、元素のアルミニウム及びアルミニウム合金は半導体デバイス内のビア及びラインを形成するために使用される慣例の金属であった。しかしながら、アルミニウムは、銅(Cu)のような、より伝導性のある他の金属よりも高い電気抵抗性を有する。アルミニウムは、その上電気泳動(エレクトロマイグレーション)を被ることがあり得、導体内のボイドの形成に至る。   In addition, when the feature width decreases, the device current generally remains constant or increases, so that the current density increases for such features. Aluminum is an elemental aluminum because it has recognized low electrical resistance, excellent adhesion to most insulating materials, and ease of patterning, and high purity aluminum is readily available. And aluminum alloys have been the customary metals used to form vias and lines in semiconductor devices. However, aluminum has a higher electrical resistance than other more conductive metals, such as copper (Cu). In addition, aluminum can undergo electrophoresis (electromigration), leading to the formation of voids in the conductor.

銅及び銅合金は、アルミニウムよりも低い抵抗性、同様にアルミニウムと比べて十分に高い電気泳動抵抗を有する。これらの特徴は、高レベルの集積及び増加したデバイス速度で被る高電流密度に耐えるために重要である。銅は優れた熱伝導性も有する。従って、銅は、半導体基板上のサブクォーターミクロン、高アスペクト比相互接続形体を充填するための選択金属になる   Copper and copper alloys have a lower resistance than aluminum, as well as a sufficiently high electrophoretic resistance compared to aluminum. These features are important to withstand high levels of integration and high current densities experienced at increased device speeds. Copper also has excellent thermal conductivity. Thus, copper becomes a selective metal for filling sub-quarter micron, high aspect ratio interconnect features on semiconductor substrates.

従来、化学気相堆積(CVD)及び物理気相堆積(PVD)技術が、これらの相互接続形体を充填するために使用されてきた。しかしながら、相互接続サイズが減少し、アスペクト比が増加する時、従来の金属配線技術により充填されたボイドフリー相互接続形体は、CVD及び/又はPVDを使用しては、増々難しくなる。それらの結果として、電気化学メッキ(ECP)のような、メッキ技術が、集積回路製造プロセス内のサブクォーターミクロンサイズの高アスペクト比相互接続形体を充填するための実行可能なプロセスとして現れた。   Traditionally, chemical vapor deposition (CVD) and physical vapor deposition (PVD) techniques have been used to fill these interconnect features. However, as interconnect sizes decrease and aspect ratios increase, void-free interconnect features filled by conventional metal wiring techniques become increasingly difficult using CVD and / or PVD. As a result, plating techniques, such as electrochemical plating (ECP), have emerged as a viable process for filling sub-quarter micron sized high aspect ratio interconnect features within integrated circuit manufacturing processes.

大抵のECPプロセスは一般に2段階プロセスであり、はじめにシード層が基板上の形体の表面に形成され(このプロセスは、分離したシステム内で実行され得る)、次に形体の表面は電解質溶液に曝され、一方で電気バイアスが基板表面と電解質溶液内に位置する陽極との間で同時に印加される。   Most ECP processes are generally two-step processes, where a seed layer is first formed on the surface of the feature on the substrate (this process can be performed in a separate system), and then the surface of the feature is exposed to the electrolyte solution. While an electrical bias is simultaneously applied between the substrate surface and the anode located in the electrolyte solution.

従来のメッキ方法は、物理気相堆積(PVD)、化学気相堆積(CVD)、又は原子層堆積(ALD)により、拡散バリア層(例えば、タンタル又は窒化タンタル)上に銅シード層を堆積することを含む。しかしながら、形体サイズがより小さくなる時、PVD技術を用いて適切なシード段階被覆を有することは難しくなり、銅塊の不連続な島が形体底部に近い形体側壁内でしばしば得られる。連続の側壁層を高アスペクト比形体の深さを貫通して堆積するために、PVDに代わりにCVD又はALD堆積プロセスを使用する時、厚い銅層が領域上に形成される。領域上の厚い銅層が、形体側壁が完全に被覆される前に、形体の口を閉じさせることがあり得る。口が閉じることを妨げるために、領域上の堆積厚さが削減される時、ALD及びCVD技術は、その上シード層内の不連続を生成する傾向にある。シード層内のこれらの不連続が、シード層上にメッキされる層内のメッキ欠陥を起こすことが示された。加えて、銅は大気中で容易に酸化する傾向にあり、酸化銅はメッキ溶液内に容易に溶ける。形体内での銅の完全な溶解を妨げるために、銅シード層は大抵比較的厚くなり(800Åと同じ高さ)、メッキプロセスが形体を充填することを妨げることがあり得る。従って、銅シード層を用いずに薄いバリア層上への銅の直接電解メッキを可能にする銅メッキプロセスを有することが望ましい。   Conventional plating methods deposit a copper seed layer on a diffusion barrier layer (eg, tantalum or tantalum nitride) by physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD). Including that. However, as feature sizes become smaller, it becomes difficult to have a proper seed stage coating using PVD technology, and discontinuous islands of copper mass are often obtained within the feature sidewalls near the feature bottom. A thick copper layer is formed over the region when using a CVD or ALD deposition process instead of PVD to deposit a continuous sidewall layer through the depth of the high aspect ratio feature. A thick copper layer on the area can cause the feature mouth to close before the feature sidewalls are completely covered. ALD and CVD techniques tend to create discontinuities in the seed layer as well, when the deposition thickness on the region is reduced to prevent the mouth from closing. These discontinuities in the seed layer have been shown to cause plating defects in the layer plated on the seed layer. In addition, copper tends to oxidize easily in the atmosphere and copper oxide dissolves easily in the plating solution. In order to prevent complete dissolution of the copper in the feature, the copper seed layer is often relatively thick (as high as 800 Å) and may prevent the plating process from filling the feature. Therefore, it is desirable to have a copper plating process that allows direct electrolytic plating of copper onto a thin barrier layer without the use of a copper seed layer.

従って、形体を充填でき、銅シード層を必要としない、銅メッキプロセスに対する要求がある。   Therefore, there is a need for a copper plating process that can be filled with features and does not require a copper seed layer.

本発明の概要Summary of the present invention

本発明の実施形態は、一般に、銅シード層を用いずに、直接銅メッキを可能にするバリア層表面処理の方法を提供する。一実施形態において、基板上の第VIII族金属層を備えた基板上に銅を直接メッキする方法は、メッキの間の臨界電流密度を低減するために、基板表面上の第VIII族金属表面酸化層及び/又は有機表面汚染物を取り除くように基板表面を前処理し、臨界電流密度に等しい又はそれ以上のメッキ電流密度で酸性メッキ槽内で前処理された基板表面上に連続した及びボイドフリーな銅層をメッキすることとを含む。   Embodiments of the present invention generally provide a method for barrier layer surface treatment that allows direct copper plating without the use of a copper seed layer. In one embodiment, a method of directly plating copper on a substrate with a Group VIII metal layer on the substrate includes a Group VIII metal surface oxidation on the substrate surface to reduce critical current density during plating. Pre-treat substrate surface to remove layer and / or organic surface contaminants, continuous and void-free on substrate surface pre-treated in acid plating bath at plating current density equal to or greater than critical current density Plating a copper layer.

詳細な説明Detailed description

CVD、ALD、又はPVDにより堆積されたルテニウム(Ru)薄膜は、≦45nm技術に向けた、層間絶縁(IMD)と銅相互接続との間のシードレス拡散バリアのための潜在的な候補である。ルテニウムは、低電気抵抗性(抵抗率〜7μΩcm)及び高熱安定性(高融点〜2300℃)を有する第VIII族金属である。それは、室温で酸素及び水が存在しても比較的安定である。Ruの熱及び電気伝導性は、タンタル(Ta)のそれの2倍である。また、ルテニウムは900℃以下で銅と合金を形成せず、銅との優れた固着性を示す。従って、半導体産業は、銅バリア層としてRuを使用することに興味を示した。Ruの低抵抗性は、シード層を用いずに、ルテニウムでコーティングされた形体を銅で充填することを試みる時、利点であり得る。   Ruthenium (Ru) thin films deposited by CVD, ALD, or PVD are potential candidates for a seedless diffusion barrier between interlayer insulation (IMD) and copper interconnects for ≦ 45 nm technology. Ruthenium is a Group VIII metal having low electrical resistance (resistivity ˜7 μΩcm) and high thermal stability (high melting point˜2300 ° C.). It is relatively stable in the presence of oxygen and water at room temperature. The thermal and electrical conductivity of Ru is twice that of tantalum (Ta). Further, ruthenium does not form an alloy with copper at 900 ° C. or less, and exhibits excellent adhesion to copper. Accordingly, the semiconductor industry has shown interest in using Ru as a copper barrier layer. The low resistance of Ru can be an advantage when attempting to fill ruthenium-coated features with copper without using a seed layer.

図1A〜1Cは、本発明の第VIII族金属バリア層を組み入れた銅相互接続製造シーケンスの異なる段階での基板の断面図を示す。図1Aは、例えば、金属コンタクト104及びその上に形成された絶縁層102を有する基板100の断面図を示す。基板100は、例えば、シリコン、ゲルマニウム、又はガリウム砒素などの半導体材料を含み得る。絶縁層102は、酸化シリコン、窒化シリコン、酸化窒化シリコン及び/又はSiO、例えばカリフォルニア、サンタクララにある、アプライドマテリアルズ社から入手可能なブラックダイヤモンド(登録商標)低誘電体層間絶縁膜のような、炭素でドープされた酸化シリコン絶縁材料を含み得る。金属コンタクト104は、例えば、他の間で銅を含み得る。開口部120は、金属コンタクト104上に開口を提供するように絶縁層102内で画定され得る。開口部120は、従来のリソグラフィー及びエッチング技術を使用し、絶縁層102内で画定され得る。開口部120の幅は、約900Åに等しい又は未満であり得る。絶縁層102の厚さは、約1000Å〜約10000Åの間の範囲であり得る。 1A-1C show cross-sectional views of a substrate at different stages of a copper interconnect manufacturing sequence incorporating a Group VIII metal barrier layer of the present invention. FIG. 1A shows a cross-sectional view of a substrate 100 having, for example, a metal contact 104 and an insulating layer 102 formed thereon. The substrate 100 may include a semiconductor material such as, for example, silicon, germanium, or gallium arsenide. Insulating layer 102 is silicon oxide, silicon nitride, silicon oxynitride and / or SiO x C y , such as Black Diamond® low dielectric interlayer insulating film available from Applied Materials, Inc., located in Santa Clara, California. Or a silicon oxide insulating material doped with carbon. The metal contact 104 can include copper, for example, among others. The opening 120 may be defined in the insulating layer 102 to provide an opening on the metal contact 104. The opening 120 can be defined in the insulating layer 102 using conventional lithography and etching techniques. The width of the opening 120 can be equal to or less than about 900 cm. The thickness of the insulating layer 102 can range between about 1000 and about 10,000 inches.

一実施形態において、バリア層106は、絶縁層102内に画定される開口部120内に形成され得る。任意のバリア層106は、例えば他の間で、チタン、窒化チタン、窒化チタン、タンタル、窒化タンタル、タンタルシリコン窒化物、タングステン及び窒化タングステンのような、銅バリア材料として使用される1以上の溶けにくい金属含有層を含み得る。任意のバリア層106は、ALD、化学気相堆積(CVD)又は物理気相堆積(PVD)のような、適切な堆積プロセスを使用し、形成し得る。例えば、窒化チタンは、CVDプロセス又はチタンテトラクロライド及びアンモニアが反応する、ALDプロセスを使用し、堆積され得る。一実施形態において、タンタル及び/又は窒化タンタルは、2003年7月3日に公開され、引用により本明細書に組み込まれ、共通譲渡される米国特許公開第2003―0121608号に記載されるALDプロセスによりバリア層として堆積される。任意のバリア層の厚さは、約5Å〜約150Åの間、好ましくは100Å未満である。   In one embodiment, the barrier layer 106 may be formed in the opening 120 defined in the insulating layer 102. Optional barrier layer 106 may be one or more melts used as a copper barrier material, such as, among others, titanium, titanium nitride, titanium nitride, tantalum, tantalum nitride, tantalum silicon nitride, tungsten, and tungsten nitride. It may contain a difficult metal-containing layer. Optional barrier layer 106 may be formed using a suitable deposition process, such as ALD, chemical vapor deposition (CVD) or physical vapor deposition (PVD). For example, titanium nitride can be deposited using a CVD process or an ALD process in which titanium tetrachloride and ammonia react. In one embodiment, the tantalum and / or tantalum nitride is described in U.S. Patent Publication No. 2003-0121608, published on Jul. 3, 2003, incorporated herein by reference and commonly assigned. Is deposited as a barrier layer. The optional barrier layer thickness is between about 5 and about 150 mm, preferably less than 100 mm.

一実施形態において、ルテニウム(Ru)、ロージウム(Rh)、パラジウム(Pd)、オシウム(Os)、イリジウム(Ir)、及び白金(Pt)などの、第VIII族金属の薄膜は、銅ビア及びラインのための下地層(アンダー層)(又はバリア層)として使用され得る。腐食及び酸化に耐久のある、そのような第VIII族金属には、銅層がその後電気化学メッキ(ECP)プロセスを使用し堆積される表面を提供できる。第VIII族金属は銅バリア層として作用する。第VIII族金属は、その上、従来のバリア層と銅との間の糊層(グルー層)の役をするために、Ta(タンタル)及び/又はTaN(窒化タンタル)のような、従来のバリア層上に堆積し得る。第VIII族金属は、概して化学気相堆積(CVD)プロセス、原子層堆積(ALD)又は物理気相堆積(PVD)プロセスを使用し堆積される。   In one embodiment, a thin film of a Group VIII metal, such as ruthenium (Ru), rhodium (Rh), palladium (Pd), osium (Os), iridium (Ir), and platinum (Pt), includes copper vias and lines. Can be used as an underlayer (or barrier layer) for Such a Group VIII metal that is resistant to corrosion and oxidation can provide a surface on which a copper layer is subsequently deposited using an electrochemical plating (ECP) process. The Group VIII metal acts as a copper barrier layer. In addition, Group VIII metals can serve as a glue layer (glue layer) between a conventional barrier layer and copper, such as Ta (tantalum) and / or TaN (tantalum nitride). It can be deposited on the barrier layer. Group VIII metals are typically deposited using chemical vapor deposition (CVD) processes, atomic layer deposition (ALD) or physical vapor deposition (PVD) processes.

図1Bを参照し、ルテニウム(Ru)のような、第VIII族バリア金属層108が、基板上に、この実施例では任意のバリア層106上に形成される。第VIII族バリア金属層108の厚さは、多くは作製されるデバイス構造に依存する。概して、ルテニウム(Ru)のような、第VIII族バリア金属層108の厚さは、約1000Å未満、好ましくは約5Å〜約200Åの間である。一実施形態において、第VIII族バリア金属層108は、約100Å未満の、例えば、約50Åの厚さを有するルテニウム層である。   Referring to FIG. 1B, a Group VIII barrier metal layer 108, such as ruthenium (Ru), is formed on the substrate, in this embodiment on the optional barrier layer 106. The thickness of the Group VIII barrier metal layer 108 depends largely on the device structure being fabricated. Generally, the thickness of the Group VIII barrier metal layer 108, such as ruthenium (Ru), is less than about 1000 mm, preferably between about 5 mm and about 200 mm. In one embodiment, the Group VIII barrier metal layer 108 is a ruthenium layer having a thickness of less than about 100 inches, for example, about 50 inches.

この後、図1Cを参照し、開口部120は、銅相互接続を完成するために銅110で充填され得る。一実施形態において、ルテニウム層のような、貴又は遷移金属層は、銅がECP又は他の銅メッキ技術を使用し直接堆積されるシード層の役をする。ECPのための電気化学メッキ溶液は、一般に銅源、酸源、塩素イオン源、及び1つのメッキ溶液添加剤、即ち、安定剤、抑制剤、促進剤、坑発泡剤などを含む。例えば、メッキ溶液は、約30g/l〜約60g/lのCu、約10g/l〜約50g/lの硫酸、約20〜約100ppmのClイオン、約5〜約30ppmの添加促進剤、約100〜約1000ppmの添加抑制剤、及び約1〜約6ml/lの添加安定剤を含み得る。メッキ電流は、サブミクロントレンチ及び/又はビア構造内に銅を充填するために、約2mA/cm〜約10mA/cmの範囲であり得る。銅メッキ化学物質及びプロセスの実施例は、2003年7月8日に出願され、「バリア金属上の直接銅メッキのための多段階電解堆積プロセス」という題で、共通譲渡された米国特許出願第10/616、097号、及び2003年10月10日に出願され、「サブミクロン形体内の銅の初期共形電気化学堆積を提供するための方法及び化学」という題の、米国特許出願第60/510197号に見ることができる。電気化学メッキ(ECP)システムの実施例及び代表的なメッキセルが、以下の図5及び6に記載される。 Thereafter, referring to FIG. 1C, the opening 120 may be filled with copper 110 to complete the copper interconnect. In one embodiment, a noble or transition metal layer, such as a ruthenium layer, serves as a seed layer where copper is directly deposited using ECP or other copper plating techniques. Electrochemical plating solutions for ECP generally include a copper source, an acid source, a chloride ion source, and one plating solution additive: stabilizers, inhibitors, accelerators, antifoaming agents, and the like. For example, the plating solution may comprise about 30 g / l to about 60 g / l Cu, about 10 g / l to about 50 g / l sulfuric acid, about 20 to about 100 ppm Cl ions, about 5 to about 30 ppm addition promoter, about 100 to about 1000 ppm addition inhibitor and about 1 to about 6 ml / l addition stabilizer may be included. Plating current, in order to fill copper into submicron trenches and / or vias within the structure can range from about 2 mA / cm 2 ~ about 10 mA / cm 2. An example of a copper plating chemistry and process was filed on Jul. 8, 2003 and commonly assigned U.S. patent application entitled “Multistage Electrodeposition Process for Direct Copper Plating on Barrier Metals”. U.S. Patent Application No. 60, filed 10 / 1016,097, and October 10, 2003, entitled "Methods and Chemistry for Providing Initial Conformal Electrochemical Deposition of Copper in Submicron Forms". / 510197. An example of an electrochemical plating (ECP) system and a representative plating cell are described in FIGS. 5 and 6 below.

10〜50g/lのHSO及び2〜10mA/cmのメッキ電流密度を使用する従来の銅メッキプロセスは、Ru上の連続した銅薄膜(≦1000Å)堆積にならないことが分かった。連続した銅薄膜は、メッキ電流密度及び/又はHSOの濃度(又は酸度)が従来の銅メッキで使用される値を超えて増加する時、Ru上に形成される。最小又は臨界電流密度(CCD)が分かり、ここで、この値に等しい又は以上のメッキ電流密度は、Ru層上に連続した銅薄膜を形成し、この値以下の電流密度は、Ru層上に連続した薄膜を形成しない。CCDの大きさは、メッキ溶液の酸度に強く依存する。 It has been found that the conventional copper plating process using 10-50 g / l H 2 SO 4 and a plating current density of 2-10 mA / cm 2 does not result in continuous copper thin film (≦ 1000 kg) deposition on Ru. A continuous copper film is formed on Ru when the plating current density and / or the concentration (or acidity) of H 2 SO 4 increases beyond the values used in conventional copper plating. A minimum or critical current density (CCD) is known, where a plating current density equal to or greater than this value forms a continuous copper film on the Ru layer, and a current density below this value is present on the Ru layer. Do not form a continuous thin film. The size of the CCD strongly depends on the acidity of the plating solution.

図2は硫酸HSO濃度に対する臨界電流密度(CCD)の実施例を示す。図2に示されるCCDは、Ru上に1000Åの連続した銅薄膜を形成するために必要とされる最小電流密度として定義される。CCD以下では、視覚的に光沢のある連続した銅薄膜は基板の中心領域に堆積されない。CCDの大きさはメッキ槽の酸性度レベルに強く依存する一方、CCDはRu堆積方法(ALD、CVD又はPVDのいずれか)に依存しない。 FIG. 2 shows an example of critical current density (CCD) versus sulfuric acid H 2 SO 4 concentration. The CCD shown in FIG. 2 is defined as the minimum current density required to form a 1000 連 続 continuous copper film on Ru. Below the CCD, a continuous copper film that is visually glossy is not deposited in the central region of the substrate. The size of the CCD strongly depends on the acidity level of the plating bath, while the CCD does not depend on the Ru deposition method (either ALD, CVD or PVD).

電解堆積のための核形成の動力学及び結晶成長は、核形成/成長サイトでの局所電気化学過電圧と密接に関連することは周知である。過電圧は、実際の電圧とゼロ電流(開回路)電圧との間の差として定義される。高い過電圧は臨界核サイズを低下し、核の密度を増加することにより新たな結晶核形成を助け、一方、低い電気化学過電圧は存在する結晶の成長を助ける。更に、メッキ溶液内の硫黄含有有機添加物(例えば、促進剤)の存在は、Cu吸着原子の表面拡散を強化し、従って核形成を犠牲にし結晶成長を促進すると信じられている。Cu吸着分子は、メッキの間並びにそれらがCu薄膜内に組み込まれる前に、基板表面上に着する銅原子である。メッキ電流密度は既知の槽に対する電気化学過電圧に依存するため、銅堆積構造/形態学はメッキ電流密度により影響される。3mA/cmのメッキ電流で、10g/lの硫酸含有メッキ溶液内で100ÅのRu薄膜上にメッキされた1000Å(基板の末端部付近で測定される)の銅薄膜の基板の中心付近で撮影された走査電子顕微鏡(SEM)画像により、基板の中心付近に大結晶及び質の劣った薄膜堆積を有することが分かった。100Åの厚さのRu薄膜がPVDにより堆積された。図2に示される結果によれば、CCDは、硫酸濃度が10g/lの時、約40mA/cmである。3mA/cmの電流密度は、40mA/cm(CCD)より更に低く、従って予想されたように不連続層が形成された。このメッキ条件の下で、いくつかの結晶のみが更なる結晶成長のための核形成中心の役をするために十分に安定しており、故にメッキ電流からのエネルギーは、高速な銅吸着原子表面拡散を助け、これらの結晶の成長に主に使用されることが考えられる。従って、SEMは、基板の中心に大結晶及びCu島堆積を示す。この条件の下で基板全体に渡り連続した銅薄膜を形成するために、堆積された層は極めて厚くなければならなく、堆積層はおそらくボイドを含み、それはCu相互接続応用に適合しない。5000Åの厚さの連続した銅薄膜を有する基板が、60g/lのHSOを含んだメッキ溶液及び約10mA/cm(15mA/cmのCCDより僅かに低い)のメッキ電流密度を使用し、Ru(100Åの厚さ及びPVDにより堆積される)薄膜上に形成可能であること分かった。しかしながら、銅/Ru界面に大きなボイドがあった。 It is well known that nucleation kinetics and crystal growth for electrolytic deposition are closely related to local electrochemical overvoltage at the nucleation / growth site. Overvoltage is defined as the difference between the actual voltage and the zero current (open circuit) voltage. A high overvoltage reduces the critical nucleus size and increases the density of the nuclei to assist in the formation of new crystal nuclei, while the low electrochemical overvoltage assists the growth of existing crystals. Furthermore, the presence of sulfur-containing organic additives (eg, promoters) in the plating solution is believed to enhance the surface diffusion of Cu adatoms and thus promote crystal growth at the expense of nucleation. Cu adsorbed molecules are copper atoms that deposit on the substrate surface during plating as well as before they are incorporated into the Cu thin film. Since the plating current density depends on the electrochemical overvoltage for a known bath, the copper deposition structure / morphology is affected by the plating current density. Photographed near the center of the substrate of a 1000 Å copper thin film (measured near the end of the substrate) plated on a 100 R Ru thin film in a 10 g / l sulfuric acid containing plating solution at a plating current of 3 mA / cm 2 Scanned electron microscope (SEM) images revealed large crystals and poor quality thin film deposits near the center of the substrate. A 100 厚 thick Ru film was deposited by PVD. According to the results shown in FIG. 2, the CCD is about 40 mA / cm 2 when the sulfuric acid concentration is 10 g / l. The current density of 3 mA / cm 2 was even lower than 40 mA / cm 2 (CCD), so a discontinuous layer was formed as expected. Under this plating condition, only a few crystals are stable enough to serve as nucleation centers for further crystal growth, so the energy from the plating current is the fast copper adatom surface It is thought that it helps diffusion and is mainly used for the growth of these crystals. Therefore, SEM shows large crystals and Cu island deposition in the center of the substrate. In order to form a continuous copper film across the substrate under this condition, the deposited layer must be very thick and the deposited layer probably contains voids, which are not compatible with Cu interconnect applications. A substrate having a continuous copper thin film with a thickness of 5000 mm has a plating current density of about 10 mA / cm 2 (slightly lower than a 15 mA / cm 2 CCD) and a plating solution containing 60 g / l H 2 SO 4. Used and found to be able to be formed on Ru (100 (thick and deposited by PVD) thin films. However, there were large voids at the copper / Ru interface.

メッキ電流が30mA/cmに増加した時、結晶の密度が増加することが分かり、結晶のサイズが基板の中心で減少することが分かった。しかしながら、連続した銅薄膜は、メッキ電流がCCD以下であったため、Ru表面上に形成されなかった。前のように、Ru薄膜は、100Å厚さであり、PVDにより堆積された。 It was found that when the plating current was increased to 30 mA / cm 2 , the crystal density increased and the crystal size decreased at the center of the substrate. However, a continuous copper thin film was not formed on the Ru surface because the plating current was below the CCD. As before, the Ru thin film was 100 mm thick and was deposited by PVD.

また、メッキ電流が増加するという欠点がある。一般に、高いメッキ電流密度は、質の劣るギャップ充填になる傾向にある。一般に、約10mA/cm未満のメッキ電流密度が、ボトムアップのギャップ充填を促進することが分かった。メッキ電流密度をボトムアップのギャップ充填に適合した範囲に削減するために、硫酸濃度を増すことが必要である。硫酸濃度が160g/lに上がり、メッキ電流が特定の酸性濃度でCCDに等しい5mA/cmである時、1000Åの連続した銅薄膜が100ÅのRu薄膜上に渡り形成された。しかしながら、断面SEM画像は、ボイドが銅/Ru界面で形成されたことを示す。メッキ電流が、10mA(5mA/cm2のCCDの2倍)に上がり、硫酸濃度が、160g/lに維持された時、5000Åの連続した銅薄膜が、銅/Ru界面でボイドなく、100ÅのRu層上に形成された。 In addition, there is a drawback that the plating current increases. Generally, high plating current density tends to result in poor quality gap filling. In general, plating current densities of less than about 10 mA / cm 2 have been found to promote bottom-up gap filling. In order to reduce the plating current density to a range compatible with bottom-up gap filling, it is necessary to increase the sulfuric acid concentration. When the sulfuric acid concentration rose to 160 g / l and the plating current was 5 mA / cm 2 equal to the CCD at a specific acidic concentration, a 1000 連 続 continuous copper thin film was formed over the 100 Å Ru thin film. However, the cross-sectional SEM image shows that the void was formed at the copper / Ru interface. When the plating current is increased to 10 mA (twice that of a 5 mA / cm 2 CCD) and the sulfuric acid concentration is maintained at 160 g / l, a continuous copper thin film of 5000 liters has no void at the copper / Ru interface and 100 liters of Ru. Formed on the layer.

槽の酸度のCCD依存性の理由の1つは、上で議論された局所電気化学過電圧に関連する。低い酸度のメッキ溶液は、高い抵抗を有する。従って、高いCCDが、低い酸度のメッキ槽内の高い抵抗を克服ために必要とされる。   One reason for the CCD dependence of bath acidity is related to the local electrochemical overvoltage discussed above. A low acidity plating solution has a high resistance. Therefore, a high CCD is required to overcome the high resistance in a low acidity plating bath.

ルイジアナ州ニューオレアンでのアメリカ化学学会国内会合で、ノーステキサス大学からのチアンらにより示された最近の研究は、酸化ルテニウム(RuO)は、金属のような伝導性を有し、銅がその上の酸化ルテニウムにメッキされ、強く固着することを示す。実際に堆積された(堆積されたままの)Ru表面上で観察される高いCCDは、Ru表面酸化及び/又は有機表面汚染物の存在の結果であり得る。「純粋な」Ru表面はCu核形成に対してより活性であると憶測される。銅メッキの前に前処理プロセスにより表面酸化層又は有機表面汚染物を取り除くことは、銅/Ru界面ボイドなく、メッキ電流及び連続した銅層を形成するために必要とされるメッキ槽の酸度を大いに削減する。前処理プロセスは、基板表面を還元剤に曝し得る。図3は、前処理プロセスの流れを示す。工程301において、Ruのような、第VIII族金属を伴う基板は、酸化メタル又は有機汚染物の表面を洗浄するために、還元ガス(例えば、水素ガス)内でのアニール処理のような、プロセスにより前処理される。工程302において、銅薄膜は、前処理された基板上に直接メッキされる。1つの可能な酸化還元反応が、化学式(1)以下のように示される。

Figure 2008502806
A recent study presented by Chian et al. From the University of North Texas at a national meeting of the American Chemical Society in New Orleans, Louisiana showed that ruthenium oxide (RuO 2 ) has a metal-like conductivity and copper It is plated on ruthenium oxide on it and shows strong adhesion. The high CCD observed on the actual deposited (as-deposited) Ru surface may be the result of Ru surface oxidation and / or the presence of organic surface contaminants. It is speculated that the “pure” Ru surface is more active for Cu nucleation. Removing the surface oxide layer or organic surface contaminants by a pre-treatment process prior to copper plating reduces the plating current and the acidity of the plating bath required to form a continuous copper layer without copper / Ru interface voids. Reduce greatly. The pretreatment process may expose the substrate surface to a reducing agent. FIG. 3 shows the flow of the pretreatment process. In step 301, a substrate with a Group VIII metal, such as Ru, is subjected to a process, such as an annealing process in a reducing gas (eg, hydrogen gas) to clean the surface of metal oxide or organic contaminants. Is preprocessed. In step 302, the copper film is directly plated on the pretreated substrate. One possible redox reaction is shown as chemical formula (1) below.
Figure 2008502806

100ÅのPVDRu薄膜を伴う基板は、Cuメッキの少し前にアニール処理により前処理される。アニール処理プロセスは、4%のH及び96%のNを含む、形成ガスのような、水素含有ガスの存在で、約室温〜約400℃間、好ましくは約100℃〜約400℃間の温度で、約1sccm〜約20slm間のガス流量で、約5mTorr〜約1500Torrの下で、約2秒〜約5時間の間、実行される。アニール処理時間は、好ましくは製造効率のため1時間以内である。基板アニール処理の目的は、RuO表面をRuに還元する及び/又は有機表面汚染物を除去することである。一実施形態において、水素含有ガスは、N又は不活性ガス(例えば、Ar、Heなど)のような、反応しないガスと混合される。有機表面汚染物を除去する目的のために、N又は不活性ガス(例えば、Ar)のような、Ruと反応しないガスでのアニール処理が使用可能である。アニール処理プロセスは、カリフォルニア州サンタクララのアプライドマテリアルから入手可能な枚葉式ウェーハ高速熱アニール処理チャンバ内で、又はバッチ炉内で実行可能である。 A substrate with a 100 Å PVDRu thin film is pretreated by an annealing process slightly before Cu plating. The annealing process is between about room temperature and about 400 ° C., preferably between about 100 ° C. and about 400 ° C. in the presence of a hydrogen-containing gas, such as a forming gas, containing 4% H 2 and 96% N 2. At a gas flow rate between about 1 sccm and about 20 slm at a temperature of about 5 mTorr to about 1500 Torr for about 2 seconds to about 5 hours. The annealing time is preferably within 1 hour for manufacturing efficiency. The purpose of the substrate annealing process is to reduce the RuO 2 surface to Ru and / or remove organic surface contaminants. In one embodiment, the hydrogen-containing gas is mixed with a gas that does not react, such as N 2 or an inert gas (eg, Ar, He, etc.). For the purpose of removing organic surface contaminants, an annealing treatment with a gas that does not react with Ru, such as N 2 or an inert gas (eg, Ar) can be used. The annealing process can be performed in a single wafer rapid thermal annealing chamber available from Applied Materials, Santa Clara, California, or in a batch furnace.

図3Bは、実際に堆積された(堆積されたままの)Ru基板が形成ガスで270℃で30秒間、以下の図5に記載されるアニールチャンバ内でアニール処理された後、CCDの大きさが削減される例を示す。曲線311は、実際に堆積された(堆積されたままの)Ru基板表面上の銅メッキに対するCCDを示す。曲線312は、形成ガスでアニール処理されたRu基板表面上の銅メッキに対する更に削減されたCCDを示す。例えば、10g/lのHSOを含む溶液に対するCCDは40mA/cmから8mA/cmのCCDに低下し、100g/lのHSOを含むメッキ溶液は、10mA/cmから3mA/cmのCCDに低下した。両曲線311及び312は、CCDが酸濃度の増加で減少することを示す。メッキ溶液に使用される酸は、スルホン酸(アルカンスルホン酸を含む)のような、他のタイプの酸であり得る。硫酸の代わりに他のタイプの酸が使用される場合、同等な酸濃度範囲が使用されなければならない。 FIG. 3B shows the size of the CCD after the actually deposited (as-deposited) Ru substrate was annealed with forming gas at 270 ° C. for 30 seconds in the annealing chamber described in FIG. 5 below. An example in which is reduced. Curve 311 shows the CCD for copper plating on the actual (as-deposited) Ru substrate surface. Curve 312 shows a further reduced CCD for copper plating on a Ru substrate surface annealed with forming gas. For example, the CCD for a solution containing 10 g / l H 2 SO 4 drops from 40 mA / cm 2 to a CCD of 8 mA / cm 2 , and the plating solution containing 100 g / l H 2 SO 4 starts from 10 mA / cm 2. Reduced to 3 mA / cm 2 CCD. Both curves 311 and 312 show that the CCD decreases with increasing acid concentration. The acid used in the plating solution can be other types of acids, such as sulfonic acids (including alkane sulfonic acids). If other types of acids are used instead of sulfuric acid, an equivalent acid concentration range must be used.

形成ガスアニール処理を用い、直接銅メッキプロセスが、従来の銅メッキ処理のような同様の電流密度で操作可能である。形成ガスアニール処理の後、Ru基板表面は、汚れていない純粋なRu表面に対して予想されるように、より疎水性になる傾向にある。形成ガスでアニールされたRu薄膜上へのCuメッキは、CCDの大きな削減を維持するために、形成ガスアニール処理に続き、4時間以内に、好ましくは2時間以内に実行されるべきである。基板が酸素又は他の汚染物にあまりにも長い時間露出される場合、CCDは、RuO再形成又は大気からの有機表面汚染物の再堆積のために、次第にアニール前の状態に戻る。 Using a forming gas annealing process, a direct copper plating process can be operated at a similar current density as a conventional copper plating process. After the forming gas anneal, the Ru substrate surface tends to become more hydrophobic, as expected for a clean, pure Ru surface. Cu plating on the Ru thin film annealed with forming gas should be performed within 4 hours, preferably within 2 hours, following the forming gas annealing process to maintain a large reduction in CCD. If the substrate is exposed to oxygen or other contaminants for too long, the CCD will gradually return to its pre-anneal state due to RuO x re-formation or organic surface contaminant re-deposition from the atmosphere.

CCDの削減が、約10g/l〜約300g/lの範囲のすべての実行可能な酸濃度を含む酸性CuSO槽を使用し、サブミクロントレンチ/ビア構造体内へのギャップ充填に適合した電流密度で、Cu薄膜が堆積されるのを許容するので、水素含有ガスアニール処理により生じるCCDの大きな削減は、極めて重要である。 Current density compatible with gap filling into sub-micron trench / via structures using an acidic CuSO 4 bath with CCD reduction including all feasible acid concentrations ranging from about 10 g / l to about 300 g / l Therefore, the large reduction of CCD caused by the hydrogen-containing gas annealing process is extremely important because it allows the Cu thin film to be deposited.

一実施例において、100g/lの硫酸濃度を含むメッキ溶液及び3mA/cmのメッキ電流密度(PCD)(CCDに等しい、PCD/CCD=1)を使用し、アニール処理された80ÅのALDRu上に堆積された1000Åの銅薄膜の撮影されたSEM画像は、連続した銅薄膜が銅/Ru界面間のボイドなしに堆積されたことを示した。銅/Ru界面間のボイドがないことは、優れた銅(Cu)とRu界面との整合性及びアニール処理されたRu表面上のCuの優れた固着性を示す。また、第2実施例として、100g/lの硫酸濃度を含むメッキ溶液及び4.5mA/cmのメッキ電流密度(又はPCD/CCD=1.5)を使用し、アニール処理された80ÅのALDRu上に堆積された1000Åの銅薄膜の撮影されたSEM画像は、連続した銅薄膜が銅/Ru間のボイドなしに堆積されたことを示した。同様に、7.5mA/cmのメッキ電流密度(又はPCD/CCD=2.5)は、銅/Ru間のボイドなしの連続した銅薄膜を実現した。これらの結果は、ガスアニール前処理が、メッキ電流密度を低下し、Ru/Cu界面固着及び整合性を改善することを示す。 In one example, on an annealed 80 AL ALDRu using a plating solution containing a sulfuric acid concentration of 100 g / l and a plating current density (PCD) of 3 mA / cm 2 (equal to CCD, PCD / CCD = 1). SEM images taken of the 1000 銅 copper film deposited on the substrate showed that a continuous copper film was deposited without voids between the copper / Ru interface. The absence of voids between the copper / Ru interface indicates excellent copper (Cu) and Ru interface consistency and excellent Cu adhesion on the annealed Ru surface. Further, as a second embodiment, an annealing process of 80 mm ALDRu using a plating solution containing a sulfuric acid concentration of 100 g / l and a plating current density of 4.5 mA / cm 2 (or PCD / CCD = 1.5) was performed. A photographed SEM image of the 1000 薄膜 copper film deposited above showed that a continuous copper film was deposited without voids between the copper / Ru. Similarly, a plating current density of 7.5 mA / cm 2 (or PCD / CCD = 2.5) realized a continuous copper film without voids between copper / Ru. These results indicate that the gas annealing pretreatment reduces plating current density and improves Ru / Cu interface adhesion and consistency.

銅/Ru界面は、Cuが形成ガスでアニール処理されたRu表面上で堆積される時、PCD/CCDが1に等しい時も、ボイドのない優れた整合性を示す。対照的に、CCDでメッキされる(又はPCD/CCD=1)時、銅とアニール処理されていないRu表面との間の界面は、前述のように、界面ボイドを発生させる。汚れのないRu表面は、より優れた銅核形成及び堆積を示し、従って界面整合性は、改善させる。   The copper / Ru interface exhibits excellent void-free consistency even when PCD / CCD is equal to 1 when Cu is deposited on a Ru surface annealed with forming gas. In contrast, when plated with a CCD (or PCD / CCD = 1), the interface between the copper and the unannealed Ru surface generates interface voids as described above. A clean Ru surface shows better copper nucleation and deposition, thus improving interfacial consistency.

水素含有ガスアニール処理で第VIII族金属表面を前処理する他の利益は、銅と第VIII族金属との間の改善された固着性である。実験結果は、固着が、優れた銅/Ru界面整合性(ボイドなし)により、Cuと前処理された汚れのない可能な限り酸素遊離のRu表面との間でより優れていることを示した。CuとRu層との間の優れた界面整合性は、信頼性のある半導体デバイスを形成する点で重要な様相であり得る。明らかに、前処理されたRu表面を有することは、Ru薄膜上に高品質Cu堆積を達成する上で決定的である。   Another benefit of pretreating a Group VIII metal surface with a hydrogen-containing gas annealing treatment is improved adhesion between copper and the Group VIII metal. Experimental results showed that the adhesion was better between Cu and pretreated soil free oxygen free Ru surface as much as possible due to excellent copper / Ru interface consistency (no voids). . The excellent interfacial consistency between the Cu and Ru layers can be an important aspect in forming a reliable semiconductor device. Clearly, having a pre-treated Ru surface is critical to achieving high quality Cu deposition on the Ru thin film.

形成ガスでアニール処理されたRu表面上へのCuメッキの他の様相は、上述した改善された疎水性によるメッキされるCu薄膜による完全な基板表面被覆である。アニール処理されたRu表面が、より疎水性であり、メッキ溶液を形体内に深く引き込むことをより可能にするので、基板形体上の銅メッキの段階被覆は、改善されるべきである。図4は、0.14μm×0.8μmのトレンチ内のアニール処理されたRu表面上にメッキされた銅の優れたギャップ充填のSEMを示す。実際に堆積された(堆積されたままの)Ruは、80ÅのALDRuである。前処理は、300℃で、3分間の形成ガスアニール処理である。銅メッキ電流は、最初の100Åに対して10mA/cmであり、残りの1900Åに対して5mA/cmである。 Another aspect of Cu plating on Ru surfaces annealed with forming gas is a complete substrate surface coating with a Cu film to be plated due to the improved hydrophobicity described above. The step coverage of copper plating on the substrate feature should be improved because the annealed Ru surface is more hydrophobic and allows the plating solution to be drawn deeper into the feature. FIG. 4 shows an excellent gap-fill SEM of copper plated on an annealed Ru surface in a 0.14 μm × 0.8 μm trench. The actual deposited (as deposited) Ru is 80u ALDRu. The pretreatment is a forming gas annealing treatment at 300 ° C. for 3 minutes. The copper plating current is 10 mA / cm 2 for the first 100 Å and 5 mA / cm 2 for the remaining 1900 Å.

アニール処理プロセスは、図5のアニール処理チャンバ535のような、集積されたアニール処理チャンバ内で、又は分離されたアニール処理システム内で実行可能である。アニール処理プロセスは、枚葉式ウェーハチャンバ又はバッチ炉のいずれか1つの中で実行可能である。   The annealing process can be performed in an integrated annealing chamber, such as annealing chamber 535 of FIG. 5, or in a separate annealing system. The annealing process can be performed in either one of a single wafer chamber or a batch furnace.

水素含有ガスでのアニール処理に加えて、直接銅メッキ前の第VIII族金属の表面前処理が、他の方法により実行可能である。他の前処理方法の一実施例は、銅イオン遊離酸溶液内での陰極処理である。表面RuO薄膜は、電解陰極的に還元可能であり、弱結合の有機表面汚染物は、陰極分極により表面から排出可能である。1つの可能な還元反応が、以下の化学式(2)示される。陰極処理が、図6に関連し以下に記載されるような、銅メッキセルと類似の集積されたセル内で、又は銅メッキシステムから分離された処理セル内で実行可能である。陰極処理セルは、陽極、陰極及び銅イオン遊離酸槽を必要とする。酸性濃度範囲は、約10g/l〜約100g/lの間の範囲内、好ましくは約10g/l〜約50g/lの間の範囲内であるべきである。好ましい酸は、HSOであり、しかし有機スルフォン酸溶液(例えば、メチルスルフォン酸)のような、他の型の酸性溶液が、その上使用可能である。陰極処理間のRu上への、品の劣る核形成された銅の島である銅堆積を妨げるために、酸性槽が銅から遊離していることが必要である。

Figure 2008502806
In addition to annealing with a hydrogen-containing gas, surface pretreatment of the Group VIII metal prior to direct copper plating can be performed by other methods. One example of another pretreatment method is cathodic treatment in a copper ion free acid solution. The surface RuO x thin film can be reduced as an electrolytic cathode, and weakly bound organic surface contaminants can be discharged from the surface by cathodic polarization. One possible reduction reaction is represented by the following chemical formula (2). Cathodic processing can be performed in an integrated cell similar to a copper plating cell, as described below in connection with FIG. 6, or in a processing cell that is separate from the copper plating system. The cathode treatment cell requires an anode, a cathode and a copper ion free acid bath. The acidic concentration range should be in the range between about 10 g / l and about 100 g / l, preferably in the range between about 10 g / l and about 50 g / l. The preferred acid is H 2 SO 4 , but other types of acidic solutions can be used as well, such as organic sulfonic acid solutions (eg, methyl sulfonic acid). In order to prevent copper deposition, an inferior nucleated copper island on Ru during cathodic treatment, it is necessary that the acid bath be free from copper.
Figure 2008502806

陰極処理は、電圧制御又は電流制御を通じて実現可能である。電圧制御手段で、ウェーハ表面上の薄い実際に堆積された(堆積されたままの)Ru薄膜である、作用極及び陽極に加え、参照電極が、水の電圧を監視するために必要とされる。好ましい参照電極は、基板表面近くに位置する薄い銅線である。電圧制御は電圧制御装置(ポテンシオスタット)を通じて実現可能である。銅参照電極に対する、制御されたRu電極電圧は、約0ボルト〜約−0.5ボルトの範囲内である。RuへのRuOの還元に加え、H発生はRu薄膜表面上で起こり得る。電流制御手段を用い、陰極電流が実際に堆積された(堆積されたままの)Ruを伴う基板と陽極との間を通過する。電流密度は、約0.05mA/cm〜約1mA/cmの範囲であるべきである。処理時間は、約2秒〜30分の範囲であるべきである。しかしながら、スループット関連のために、処理は、好ましくは5分以下である。 Cathodic treatment can be realized through voltage control or current control. With voltage control means, in addition to the working electrode and anode, which are thin, actually deposited (as-deposited) Ru films on the wafer surface, a reference electrode is required to monitor the voltage of the water . A preferred reference electrode is a thin copper wire located near the substrate surface. The voltage control can be realized through a voltage control device (potentiostat). The controlled Ru electrode voltage for the copper reference electrode is in the range of about 0 volts to about -0.5 volts. In addition to the reduction of RuO x to Ru, H 2 generation can occur on the Ru thin film surface. Using current control means, the cathode current is passed between the substrate with the actual deposited (as deposited) Ru and the anode. Current density should be in the range of about 0.05 mA / cm 2 ~ about 1 mA / cm 2. The processing time should be in the range of about 2 seconds to 30 minutes. However, due to throughput concerns, processing is preferably less than 5 minutes.

Ruに関わる実験結果及び討論は、単に例として使用される。発明概念は、ロージウム(Rh)、パラジウム(Pd)、オスミウム(Os)、イリジウム(Ir)、及び白金(Pt)の、他の第VIII族金属へ応用可能である。   Experimental results and discussions related to Ru are only used as examples. The inventive concept is applicable to other Group VIII metals such as rhodium (Rh), palladium (Pd), osmium (Os), iridium (Ir), and platinum (Pt).

銅メッキは、エレクトラCuECP(商標名)システム又はスリムセル銅メッキシステム上のセル内で実行可能であり、両方ともカリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能である。図5はスリムセル銅メッキシステム500の上面図示す。ECPシステム500は、一般に基板積載部と言われるファクトリーインターフェース(FI)530を含む。ファクトリーインターフェース530は、基板含有カセット534と接するように配置された複数の基板積載部を含む。ロボット532はファクトリーインターフェース530内に位置し、カセット534に含まれる基板にアクセスするように配置される。更に、ロボット532は、ファクトリーインターフェース530を処理メインフレーム又はプラットフォーム513と接続するリンクトンネル515内に伸長する。ロボット532の位置は、ロボットが基板カセット534に基板を取り出すためにアクセスし、基板をメインフレーム513上に位置する処理セル514、516の1つ、又はアニール処理部535に搬送することを可能にする。同様に、ロボット532は、基板処理シーケンスが完了した後、処理セル514、516又はアニール処理チャンバ535から基板を取り除くために使用可能である。この状況において、ロボット532は、システム500からの取り除くために、カセット534の1つに基板を搬送し得る。   Copper plating can be performed in a cell on an Electra CuECP ™ system or a slim cell copper plating system, both available from Applied Materials, Inc., Santa Clara, California. FIG. 5 shows a top view of the slim cell copper plating system 500. The ECP system 500 includes a factory interface (FI) 530 generally referred to as a substrate stacking unit. The factory interface 530 includes a plurality of substrate stacking units arranged so as to be in contact with the substrate-containing cassette 534. The robot 532 is located within the factory interface 530 and is arranged to access the substrates contained in the cassette 534. In addition, the robot 532 extends into a link tunnel 515 that connects the factory interface 530 with the processing mainframe or platform 513. The position of the robot 532 allows the robot to access the substrate cassette 534 to take out the substrate and transport the substrate to one of the processing cells 514, 516 located on the main frame 513, or to the annealing unit 535. To do. Similarly, the robot 532 can be used to remove a substrate from the processing cells 514, 516 or the annealing chamber 535 after the substrate processing sequence is complete. In this situation, the robot 532 may transfer the substrate to one of the cassettes 534 for removal from the system 500.

本明細書で更に説明されるアニール処理部535は、一般に2つの位置アニール処理チャンバを含み、冷却プレート/位置536及び加熱プレート/位置537がそれらと隣接して、例えば、2つの部の間に配置される基板搬送ロボット540とともに隣接して配置される。ロボット540は、一般に、各加熱プレート537と冷却プレート536との間の基板を移動するように配置される。更に、アニール処理チャンバ535がリンクトンネル515からアクセスするように位置するものとして示されるが、本発明の実施形態はいずれの特定の形態又は位置に限定されない。一実施形態において、アニール処理部535はメインフレーム513と直接連通して配置され、即ち、メインフレームロボット520によりアクセスし得る。例えば、図5に示されるように、アニール処理部535は、メインフレーム513へのアクセスを許容する、リンクトンネル515に直接連通して配置することができ、アニール処理チャンバ535は、メインフレーム513に連通するものとして示される。適切なアニール処理チャンバの詳細は、2003年4月18日に提出され、「2位置アニール処理チャンバ」の題で、共通譲渡された米国特許出願第60/463、860号に記載される。   The annealing section 535 further described herein generally includes two position annealing chambers, with a cooling plate / position 536 and a heating plate / position 537 adjacent to them, eg, between the two sections. It is disposed adjacent to the substrate transfer robot 540 to be disposed. The robot 540 is generally arranged to move the substrate between each heating plate 537 and cooling plate 536. Further, although the annealing chamber 535 is shown as being located for access from the link tunnel 515, embodiments of the invention are not limited to any particular form or location. In one embodiment, the annealing treatment unit 535 is disposed in direct communication with the main frame 513, that is, can be accessed by the main frame robot 520. For example, as shown in FIG. 5, the annealing unit 535 can be disposed in direct communication with the link tunnel 515 that allows access to the main frame 513, and the annealing chamber 535 is connected to the main frame 513. Shown as communicating. Details of a suitable annealing chamber are described in commonly assigned US patent application Ser. No. 60 / 463,860, filed Apr. 18, 2003 and entitled “Two-position annealing chamber”.

一実施形態において、アニール処理プロセスは、図5のアニール処理チャンバ535として示されるように、集積アニール処理チャンバ内で実行される。他の実施形態において、アニール処理プロセスが、分離アニール処理システム内で実行される。他の実施形態において、アニール処理プロセスが、単一ウェーハチャンバ又はバッチ炉内で実行される。   In one embodiment, the annealing process is performed in an integrated annealing chamber, as shown as annealing chamber 535 in FIG. In other embodiments, the annealing process is performed in a separate annealing system. In other embodiments, the annealing process is performed in a single wafer chamber or batch furnace.

また、上述したように、ECPシステム500は、その上の中心に配置される基板搬送ロボット520を有する処理メインフレーム513を含む。ロボット520は一般にその上で基板を支持し、搬送するように配置された1以上のアーム/ブレード522、524を含む。加えて、ロボット520及び付随のブレード522、524は、一般に、ロボット520が、メインフレーム513上に位置する複数の処理部502、504、506、508、510、512、514、516へ及びこれらから基板を挿入、取り除きできるように、伸長、回転、及び垂直移動するように配置される。同様に、ファクトリーインターフェースロボット532は、基板支持ブレードを回転、伸長、及び垂直移動させることができ、一方その上、ファクトリーインターフェース530からメインフレーム513に伸長するロボットトラックに沿う線形移動を許容する。一般に、処理部502、504、506、508、510、512、514、516は、電気化学メッキプラットフォーム内で使用されるいずれの数の処理セルであり得る。特に、処理部は、電気化学メッキセル、リンスセル、ベベル洗浄セル、スピンリンス乾燥セル、(洗浄、リンス、及びエッチングセルを総合的に含む)基板表面洗浄セル、無電解メッキセル、形状検査部、及び/又はメッキプラットフォームと連結し有益に使用し得る他の処理セルとして配置し得る。各処理セル及びロボットの各々は、一般に両ユーザー及び/又はシステム500に位置する様々なセンサからの入力を受信し、入力に従いシステム500の操作を適切に制御するように配置されたマイクロプロセッサ系制御システムであり得る処理コントローラ511に連通している。   In addition, as described above, the ECP system 500 includes the processing main frame 513 having the substrate transfer robot 520 disposed at the center on the ECP system 500. The robot 520 generally includes one or more arms / blades 522, 524 arranged to support and transport a substrate thereon. In addition, the robot 520 and associated blades 522, 524 generally provide the robot 520 to and from a plurality of processing units 502, 504, 506, 508, 510, 512, 514, 516 located on the main frame 513. It is arranged to extend, rotate and move vertically so that the substrate can be inserted and removed. Similarly, the factory interface robot 532 can rotate, extend and vertically move the substrate support blade, while allowing linear movement along the robot track extending from the factory interface 530 to the main frame 513. In general, the processing units 502, 504, 506, 508, 510, 512, 514, 516 can be any number of processing cells used in an electrochemical plating platform. In particular, the processing unit includes an electrochemical plating cell, a rinse cell, a bevel cleaning cell, a spin rinse drying cell, a substrate surface cleaning cell (including cleaning, rinsing, and etching cells), an electroless plating cell, a shape inspection unit, and / or Alternatively, it may be arranged as another processing cell that can be beneficially used in conjunction with a plating platform. Each processing cell and each robot typically receives inputs from both users and / or various sensors located in the system 500, and is a microprocessor-based control arranged to appropriately control the operation of the system 500 according to the inputs. It communicates with a processing controller 511 which can be a system.

図6は、図5の処理部502、504、506、508、510、512、514、516内に実装し得る代表的なメッキセル600の部分的斜視及び断面図を示す。電気化学メッキセル600は、一般に外部容器601及び外部容器601内に位置する内部容器602を含む。内部容器602は、一般に電気化学メッキプロセスの間、基板上に金属、例えば、銅をメッキするために使用されるメッキ溶液を含むように配置される。メッキプロセスの間、メッキ溶液は、一般に連続的に内部容器602に(例えば、10リットルメッキセルに対して毎分約1ガロン)供給され、従って、メッキ溶液は、連続的に内部容器602の最上部点(一般に言う「堰」)を流れ出し、外部容器601に集められ、化学処理及び再循環のためにそこから排出される。メッキセル600は、一般に傾斜角で位置し、即ちメッキセル600のフレーム部603は、一般に、メッキセル600の構成要素が、約3°〜約30°間で、又は一般に最適な結果のため約4°〜約10°間で傾斜するように、一側面上で上昇される。メッキセル600のフレーム部材603は、環状ベース部材をその上部分上で支持する。フレーム部材603は、一側面上に上昇されるので、ベース部材604の上部表面は、一般に水平から、水平位置に関連するフレーム部材603の角度に対応する角度で傾斜する。ベース部材604は、その中心部分内へ形成される環状又はディスク形状リセスを含み、環状リセスは、ディスク形状陽極部材605を受け取るように配置される。ベース部材604は、更にその低表面から伸長する複数の流体インレット/ドレイン609を含む。流体インレット/ドレイン609の各々は、一般にメッキセル600の陽極部分又は陰極部分のいずれかに、又はから流体を個々に供給し、排出するように配置される。陽極部材605は、一般にそれを通じて形成される複数の長溝607を含み、ここで長溝607は、一般に陽極605の表面に渡り互いに平行な配向に位置する。平行な配向は、陽極表面で生成される密度の濃い流体が、下方に陽極表面に渡り、長溝607の1つへ流れることを許容する。メッキセル600は、更に膜支持アセンブリ606を含む。膜支持アセンブリ606は、一般にその外部周囲でベース部材604に留められ、流体が貫通するように配置された内部領域を含む。膜608は、支持部606に渡り伸張され、メッキセルの陰極チャンバと陽極チャンバとを流体的に分離するように作用する。膜支持アセンブリは、膜の周囲近くに位置するO−リング型シールを含むことができ、シールは、流体が、膜支持部606上に留められた膜の一側面から膜の他の側面へ通過することを妨げるように配置される。一般に多孔質セラミックディスク部材であり、実質的に薄層状の流れ又はメッキされる基板方向への流体の流れを生成するように配置される拡散プレート610は、膜608とメッキされる基板との間のセル内に位置する。代表的なメッキセルは、2002年7月24日に出願された米国特許仮出願第60/398,345号の優先権を主張した、「電気化学処理セル」の題で2002年10月9日に提出され、共通譲渡された米国特許出願第10/268,284号に更に示され、これらは両方とも引用により本明細書にそのまま組み込まれる。   FIG. 6 shows a partial perspective and cross-sectional view of an exemplary plating cell 600 that may be implemented within the processing portions 502, 504, 506, 508, 510, 512, 514, 516 of FIG. Electrochemical plating cell 600 generally includes an outer container 601 and an inner container 602 located within outer container 601. Inner vessel 602 is typically positioned to contain a plating solution used to plate a metal, eg, copper, on a substrate during an electrochemical plating process. During the plating process, the plating solution is generally continuously fed into the inner vessel 602 (eg, about 1 gallon per minute for a 10 liter plating cell), so that the plating solution is continuously fed to the inner vessel 602. The upper point (generally referred to as “weir”) flows out and is collected in an outer vessel 601 and discharged therefrom for chemical processing and recirculation. The plating cell 600 is generally located at an angle of inclination, i.e., the frame portion 603 of the plating cell 600 generally has a component of the plating cell 600 between about 3 ° and about 30 °, or generally about 4 ° to about 4 ° for optimal results. Raised on one side to tilt between about 10 °. The frame member 603 of the plating cell 600 supports the annular base member on the upper portion thereof. As the frame member 603 is raised on one side, the upper surface of the base member 604 is generally inclined from the horizontal at an angle corresponding to the angle of the frame member 603 relative to the horizontal position. Base member 604 includes an annular or disk-shaped recess formed in a central portion thereof, and the annular recess is arranged to receive a disk-shaped anode member 605. Base member 604 further includes a plurality of fluid inlet / drains 609 extending from its lower surface. Each of the fluid inlet / drains 609 is generally arranged to supply and discharge fluid individually to or from either the anode or cathode portion of the plating cell 600. The anode member 605 generally includes a plurality of long grooves 607 formed therethrough, where the long grooves 607 are generally positioned parallel to each other across the surface of the anode 605. The parallel orientation allows the dense fluid generated at the anode surface to flow down the anode surface and into one of the long grooves 607. The plating cell 600 further includes a membrane support assembly 606. Membrane support assembly 606 is generally secured to base member 604 at its outer periphery and includes an interior region disposed to allow fluid to pass therethrough. Membrane 608 extends across support 606 and acts to fluidly separate the cathode and anode chambers of the plating cell. The membrane support assembly can include an O-ring type seal located near the periphery of the membrane, where the fluid passes from one side of the membrane secured on the membrane support 606 to the other side of the membrane. Arranged to prevent it from doing. A diffuser plate 610, typically a porous ceramic disk member, arranged to generate a substantially laminar flow or fluid flow in the direction of the substrate to be plated, is between the membrane 608 and the substrate to be plated. Located in the cell. A representative plating cell is named “Electrochemical Processing Cell” on October 9, 2002, claiming priority from US Provisional Application No. 60 / 398,345, filed July 24, 2002. It is further shown in filed and commonly assigned US patent application Ser. No. 10 / 268,284, both of which are hereby incorporated by reference in their entirety.

本発明の内容を組み込んだいくつかの好ましい実施形態が示され、詳細に説明されたが、当業者はこれらの内容を更に組み込んだ多くの様々な実施形態を容易に案出可能である。   While several preferred embodiments incorporating the subject matter of the present invention have been shown and described in detail, those skilled in the art can readily devise many different embodiments that further incorporate these subject matter.

本発明の開示は、添付図面との関連で以下の詳細な説明を考慮することにより容易に理解可能である。
集積回路製造シーケンスの模式的断面図である。 硫酸濃度を関数とした臨界電流密度を示す図である。 銅メッキ前に基板表面を前処理するプロセスフローを示す図である。 硫酸濃度を関数とした、実際に堆積され、アニール処理されたRu基板に対する臨界電流密度を示す図である。 0.14μm×0.8μmトレンチのアニール処理されたRu表面上にメッキされた銅のSEMを示す図である。 電気化学メッキシステムの一実施形態の上面図である。 本発明の電気化学メッキセルに使用されるメッキセルの代表的な実施形態を示す図である。
The disclosure of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
~ It is typical sectional drawing of an integrated circuit manufacturing sequence. It is a figure which shows the critical current density as a function of sulfuric acid concentration. It is a figure which shows the process flow which pre-processes the board | substrate surface before copper plating. FIG. 4 is a diagram showing critical current density for an actually deposited and annealed Ru substrate as a function of sulfuric acid concentration. FIG. 6 shows an SEM of copper plated on an annealed Ru surface of 0.14 μm × 0.8 μm trench. 1 is a top view of one embodiment of an electrochemical plating system. FIG. It is a figure which shows typical embodiment of the plating cell used for the electrochemical plating cell of this invention.

理解を簡単化するために、図面で共通する同一要素を示す場合には、可能な限り、同一の参照番号が使用された。図面は、寸法取りされていない。   For ease of understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the drawings. The drawings are not dimensioned.

Claims (20)

基板表面上に第VIII族金属層を備える基板上に銅を直接メッキする方法であって、
基板表面上の第VIII族金属表面酸化層及び/又は有機表面汚染物を取り除き、
メッキの間の臨界電流密度を低減するために基板を前処理し、
臨界電流密度に等しい又はそれ以上のメッキ電流密度で、酸性メッキ槽内で前処理された基板表面上に、連続的且つボイドフリーな銅層をメッキすることを含む方法。
A method of directly plating copper on a substrate comprising a Group VIII metal layer on the substrate surface,
Removing the Group VIII metal surface oxide layer and / or organic surface contaminants on the substrate surface;
Pretreat the substrate to reduce the critical current density during plating,
Plating a continuous and void-free copper layer on a substrate surface pretreated in an acid plating bath at a plating current density equal to or greater than a critical current density.
第VIII族金属は、ルテニウム(Ru)、ロージウム(Rh)、パラジウム(Pd)、オスミウム(Os)、イリジウム(Ir)及び白金(Pt)の群から選択される請求項1記載の方法。   The method of claim 1, wherein the Group VIII metal is selected from the group of ruthenium (Ru), rhodium (Rh), palladium (Pd), osmium (Os), iridium (Ir) and platinum (Pt). 第VIII族金属の厚さは約1000Å未満である請求項1記載の方法。   The method of claim 1, wherein the thickness of the Group VIII metal is less than about 1000 mm. 銅メッキは、前処理の後、4時間以内に実行される請求項1記載の方法。   The method of claim 1, wherein the copper plating is performed within 4 hours after the pretreatment. 臨界電流密度はメッキ槽の酸性度の増加に伴い減少する請求項1記載の方法。   The method of claim 1, wherein the critical current density decreases with increasing acidity of the plating bath. 酸性メッキ槽内の酸性度は、約10g/l〜約300g/lの間の濃度の硫酸から発生する請求項1記載の方法。   The method of claim 1, wherein the acidity in the acid plating bath is generated from sulfuric acid at a concentration between about 10 g / l and about 300 g / l. 臨界電流密度は10mA/cm未満である請求項1記載の方法。 The method of claim 1, wherein the critical current density is less than 10 mA / cm 2 . 基板の前処理は、水素含有ガス及び/又は第VIII族金属とは反応しないガスを伴う環境内で基板をアニール処理することにより実行される請求項1記載の方法。   The method of claim 1, wherein the pretreatment of the substrate is performed by annealing the substrate in an environment with a hydrogen-containing gas and / or a gas that does not react with the Group VIII metal. ガス流量は約1sccm〜約20simの間である請求項8記載の方法。   The method of claim 8, wherein the gas flow rate is between about 1 sccm and about 20 sim. アニールは約100℃〜400℃の間の温度で起こる請求項8記載の方法。   The method of claim 8, wherein annealing occurs at a temperature between about 100C and 400C. アニールは約5mTorr〜約1500Torrの間の圧力で起こる請求項8記載の方法。   The method of claim 8, wherein the annealing occurs at a pressure between about 5 mTorr and about 1500 Torr. アニールは約2秒〜約5時間の時間を有する請求項8記載の方法。   The method of claim 8, wherein the annealing has a time of about 2 seconds to about 5 hours. 基板は、約1時間未満の間、前処理される請求項1記載の方法。   The method of claim 1, wherein the substrate is pretreated for less than about 1 hour. 前処理は集積化された枚葉式アニール処理チャンバ内で実行される請求項1記載の方法。   The method of claim 1, wherein the pretreatment is performed in an integrated single wafer anneal chamber. 基板の前処理は酸含有槽内の陰極処理により実行される請求項1記載の方法。   The method according to claim 1, wherein the pretreatment of the substrate is performed by a cathode treatment in an acid-containing tank. 酸含有槽は約10g/l〜約100g/lの酸濃度を有する請求項15記載の方法。   16. The method of claim 15, wherein the acid containing tank has an acid concentration of about 10 g / l to about 100 g / l. 陰極処理は、約0ボルト〜約−0.5ボルトの範囲の電圧、又は約0.05mA/cm〜約1mA/cmの範囲の電流密度で実行される請求項15記載の方法。 Cathodic treatment is from about 0 volts to about -0.5 volts the voltage range of, or about 0.05 mA / cm 2 to about 16. The method of claim 15, wherein the executed at a current density in the range of 1 mA / cm 2. 酸含有槽は硫酸を含む請求項15記載の方法。   The method according to claim 15, wherein the acid-containing tank contains sulfuric acid. 酸濃度は10g/l〜約50g/lの範囲である請求項16記載の方法。   17. The method of claim 16, wherein the acid concentration ranges from 10 g / l to about 50 g / l. 前処理された第VIII族金属表面上に銅をメッキする初期のメッキ電流は、少なくとも臨界電流密度に等しい請求項1記載の方法。   The method of claim 1 wherein the initial plating current for plating copper on the pretreated Group VIII metal surface is at least equal to the critical current density.
JP2007527630A 2004-06-10 2005-06-07 Barrier layer surface treatment method enabling direct copper plating on barrier metal Pending JP2008502806A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US57912904P 2004-06-10 2004-06-10
US11/007,857 US20050274621A1 (en) 2004-06-10 2004-12-09 Method of barrier layer surface treatment to enable direct copper plating on barrier metal
PCT/US2005/019902 WO2005123988A1 (en) 2004-06-10 2005-06-07 Method of barrier layer surface treatment to enable direct copper plating on barrier metal

Publications (1)

Publication Number Publication Date
JP2008502806A true JP2008502806A (en) 2008-01-31

Family

ID=35459359

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007527630A Pending JP2008502806A (en) 2004-06-10 2005-06-07 Barrier layer surface treatment method enabling direct copper plating on barrier metal

Country Status (5)

Country Link
US (1) US20050274621A1 (en)
EP (1) EP1778896A1 (en)
JP (1) JP2008502806A (en)
TW (1) TWI292925B (en)
WO (1) WO2005123988A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012092366A (en) * 2010-10-25 2012-05-17 Imec Method of electrodepositing copper

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
US7179736B2 (en) * 2004-10-14 2007-02-20 Lsi Logic Corporation Method for fabricating planar semiconductor wafers
US7442267B1 (en) * 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
KR100617070B1 (en) * 2005-09-13 2006-08-30 동부일렉트로닉스 주식회사 Method for cleaning electronic chemical planting cell
EP1845554A3 (en) * 2006-04-10 2011-07-13 Imec A method to create super secondary grain growth in narrow trenches
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
JP2009030167A (en) * 2007-07-02 2009-02-12 Ebara Corp Method and apparatus for treating substrate
US7727890B2 (en) * 2007-12-10 2010-06-01 International Business Machines Corporation High aspect ratio electroplated metal feature and method
US7919409B2 (en) * 2008-08-15 2011-04-05 Air Products And Chemicals, Inc. Materials for adhesion enhancement of copper film on diffusion barriers
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US20110094888A1 (en) * 2009-10-26 2011-04-28 Headway Technologies, Inc. Rejuvenation method for ruthenium plating seed
US20130193575A1 (en) * 2012-01-27 2013-08-01 Skyworks Solutions, Inc. Optimization of copper plating through wafer via
US9496145B2 (en) * 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
KR102275458B1 (en) * 2018-11-30 2021-07-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Electrochemical plating system and method of using

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63216976A (en) * 1987-03-03 1988-09-09 Wakamatsu Netsuren Kk Pretreatment by reduction under heating before plating
JPH01147087A (en) * 1987-12-01 1989-06-08 Nec Corp Pretreatment of copper-tungsten alloy before plating
JPH06248489A (en) * 1993-02-22 1994-09-06 Tanaka Kikinzoku Kogyo Kk Method for treatment before plating for corrosion resistant material
JPH10229084A (en) * 1997-02-14 1998-08-25 Nippon Telegr & Teleph Corp <Ntt> Wiring structure of semiconductor device and manufacture thereof
JPH11269693A (en) * 1998-03-24 1999-10-05 Japan Energy Corp Deposition method of copper and copper plating liquid
JPH11335896A (en) * 1998-05-25 1999-12-07 Ebara Corp Wafer plating apparatus
JP2001023989A (en) * 1999-03-09 2001-01-26 Applied Materials Inc Method for improving coherency of copper being deposited by chemical vapor deposition
JP2002285376A (en) * 2000-12-13 2002-10-03 Interuniv Micro Electronica Centrum Vzw Method for preparing elecroplating bath and related copper plating process
WO2003056612A1 (en) * 2001-12-28 2003-07-10 Genitech Co., Ltd. Method of forming copper interconnections for semiconductor integrated circuits on a substrate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9107364D0 (en) * 1991-04-08 1991-05-22 Skw Metals Uk Ltd Coated molybdenum parts and process for their production
AU3553599A (en) * 1998-04-13 1999-11-01 Acm Research, Inc. Method and apparatus for enhancing adhesion between barrier layer and metal layer formed by plating
US7135404B2 (en) * 2002-01-10 2006-11-14 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US20040069651A1 (en) * 2002-10-15 2004-04-15 Applied Materials, Inc. Oxide treatment and pressure control for electrodeposition
US6913791B2 (en) * 2003-03-03 2005-07-05 Com Dev Ltd. Method of surface treating titanium-containing metals followed by plating in the same electrolyte bath and parts made in accordance therewith
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63216976A (en) * 1987-03-03 1988-09-09 Wakamatsu Netsuren Kk Pretreatment by reduction under heating before plating
JPH01147087A (en) * 1987-12-01 1989-06-08 Nec Corp Pretreatment of copper-tungsten alloy before plating
JPH06248489A (en) * 1993-02-22 1994-09-06 Tanaka Kikinzoku Kogyo Kk Method for treatment before plating for corrosion resistant material
JPH10229084A (en) * 1997-02-14 1998-08-25 Nippon Telegr & Teleph Corp <Ntt> Wiring structure of semiconductor device and manufacture thereof
JPH11269693A (en) * 1998-03-24 1999-10-05 Japan Energy Corp Deposition method of copper and copper plating liquid
JPH11335896A (en) * 1998-05-25 1999-12-07 Ebara Corp Wafer plating apparatus
JP2001023989A (en) * 1999-03-09 2001-01-26 Applied Materials Inc Method for improving coherency of copper being deposited by chemical vapor deposition
JP2002285376A (en) * 2000-12-13 2002-10-03 Interuniv Micro Electronica Centrum Vzw Method for preparing elecroplating bath and related copper plating process
WO2003056612A1 (en) * 2001-12-28 2003-07-10 Genitech Co., Ltd. Method of forming copper interconnections for semiconductor integrated circuits on a substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012092366A (en) * 2010-10-25 2012-05-17 Imec Method of electrodepositing copper

Also Published As

Publication number Publication date
WO2005123988A1 (en) 2005-12-29
TW200603269A (en) 2006-01-16
EP1778896A1 (en) 2007-05-02
WO2005123988B1 (en) 2006-04-06
US20050274621A1 (en) 2005-12-15
TWI292925B (en) 2008-01-21

Similar Documents

Publication Publication Date Title
JP2008502806A (en) Barrier layer surface treatment method enabling direct copper plating on barrier metal
US20070125657A1 (en) Method of direct plating of copper on a substrate structure
US20110259750A1 (en) Method of direct plating of copper on a ruthenium alloy
US20050274622A1 (en) Plating chemistry and method of single-step electroplating of copper on a barrier metal
US7070687B2 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
JP5203602B2 (en) Method for direct electroplating of copper onto a non-copper plateable layer
CN100378954C (en) Semiconductor component and method for manufacture copper lead
US6399479B1 (en) Processes to improve electroplating fill
US8513124B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050145499A1 (en) Plating of a thin metal seed layer
JP3116897B2 (en) Fine wiring formation method
US8703615B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20120175776A1 (en) Electroless cu plating for enhanced self-forming barrier layers
US6472023B1 (en) Seed layer of copper interconnection via displacement
US6495453B1 (en) Method for improving the quality of a metal layer deposited from a plating bath
JP4163728B2 (en) Electroplating method
JP2008504444A (en) Electrochemical plating cell with auxiliary electrode in isolated anolyte compartment
US20120097547A1 (en) Method for Copper Electrodeposition
CN1965110A (en) Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US20050109627A1 (en) Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US7504335B2 (en) Grafted seed layer for electrochemical plating
US20010046565A1 (en) Method to produce high quality metal fill in deep submicron vias and lines
EP1063696B1 (en) A method for improving the quality of a metal-containing layer deposited from a plating bath
US20030146102A1 (en) Method for forming copper interconnects

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080520

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110517

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110817

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110824

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110916

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110927

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111014

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111021

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120321

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120413

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120513

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120521

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120828