JP2008211218A5 - - Google Patents

Download PDF

Info

Publication number
JP2008211218A5
JP2008211218A5 JP2008042829A JP2008042829A JP2008211218A5 JP 2008211218 A5 JP2008211218 A5 JP 2008211218A5 JP 2008042829 A JP2008042829 A JP 2008042829A JP 2008042829 A JP2008042829 A JP 2008042829A JP 2008211218 A5 JP2008211218 A5 JP 2008211218A5
Authority
JP
Japan
Prior art keywords
flow
coupled
gas
port
orifice
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008042829A
Other languages
English (en)
Other versions
JP2008211218A (ja
Filing date
Publication date
Priority claimed from US11/678,622 external-priority patent/US7775236B2/en
Application filed filed Critical
Publication of JP2008211218A publication Critical patent/JP2008211218A/ja
Publication of JP2008211218A5 publication Critical patent/JP2008211218A5/ja
Pending legal-status Critical Current

Links

Claims (20)

  1. 半導体処理システムにガスを分配する装置であって、
    入口ポートを夫々有する複数のガス入力ラインと、
    出口ポートを夫々有する複数のガス出力ラインにおいて、前記複数の出口ポートの少なくとも第1の出口ポートが設備排気部に結合されていて、前記複数の出口ポートの少なくとも第2の出口ポートが処理チャンバに結合されている複数のガス出力ラインと、
    各対のガス入力とガス出力ラインを夫々結合する複数の接続ラインにおいて、各ガス入力ラインは前記複数の接続ラインの夫々によって各ガス出力ラインに結合される複数の接続ラインと、
    各接続ラインを流れるフローを制御するよう夫々配置された複数の接続バルブと、
    各入口ポートへのフローを制御するよう夫々配置された複数のマスガスフローコントローラと
    前記出口ポートの1つに結合され、前記処理チャンバの入口に実質的に等しい制限を行うフロー制限部とを含む装置。
  2. 前記出口ポートの少なくとも1つを出るガスのフロー、圧力又は化学物質のうち少なくとも1つの測定を行うために配置された少なくとも1つのセンサを含む請求項1記載の装置。
  3. 前記センサにより前記コントローラに提供された測定に応答して、前記ガス入口ラインの少なくとも1つを流れるガスの特徴を調整するよう構成されたコントローラを含む請求項2記載の装置。
  4. 前記複数のガス出力ラインの少なくとも第2のガス出力ラインを、処理チャンバをバイパスする設備排気部に結合するパージラインを含み、
    前記処理チャンバは前記複数のガス出力ラインの少なくとも第1のガス出力ラインに結合されている請求項1記載の装置。
  5. 前記複数の出口ポートの第2の出口ポートに結合された最終のバルブと、
    前記第2の出口ポートと前記パージラインの間に結合されたバイパスバルブとを含む請求項4記載の装置。
  6. 前記パージラインを通るフローを制御するよう配置されたスロットルバルブを含む請求項4記載の装置。
  7. 前記設備排気に接続された前記出口ポートの少なくとも1つが前記処理チャンバにも接続されている請求項1記載の装置。
  8. 前記出ポートの第1の出ポートに結合された少なくとも2つの調節可能なバルブであって、前記第1の出ポートからのフローを分割するように構成された調節可能なバルブを含む請求項1記載の装置。
  9. 前記接続バルブの少なくとも1つが、2つ以上の前記出口ポート間で、前記入ポートの1つからのフローを分割するように構成された少なくとも2つの調節可能なバルブを含む請求項1記載の装置。
  10. 臨界(超音速)フローを、前記接続バルブを通して、維持しながら、前記調節可能なバルブを通るフローを測定することにより、前記調節可能なバルブの有効開口面積を較正するために構成された較正回路を含む請求項記載の装置。
  11. 接続バルブ又は接続ライン内に含まれる2つ以上のオリフィスであって、前記オリフィスを通る固定フローを維持するようなサイズの前記オリフィスを含み、1つ以上の入ポートから2つ以上のオリフィスへ供給されたフローが前記2つ以上のオリフィス間で分割され、各オリフィスを通る前記フローが前記オリフィスの面積に比例する請求項1記載の装置。
  12. 各オリフィスが前記接続バルブの夫々に流体結合され、1つ以上の入口ポートからのフローが前記オリフィス間で分割され、各オリフィスを通る前記フローが前記オリフィスの前記面積に比例し、各オリフィスに結合された前記接続バルブが開いている時間の量に比例する請求項11記載の装置。
  13. 前記入ポートのうち1つが3つ以上のオリフィスに結合し、各オリフィスが各接続バルブに流体結合し、前記オリフィスのうち少なくとも2つが前記出口ポートのうち少なくとも1つに結合し、前記出口ポート間で分割されたフローが前記流体結合した接続バルブによりフローが可能とされる各出ポートに流体結合された全オリフィスの開口面積の合計に比例する請求項11記載の装置。
  14. 臨界(超音速)フローを、前記接続バルブを通して、維持しながら、前記オリフィスを通るフローを測定することにより、前記オリフィスの面積を較正するために構成された較正回路を含む請求項11記載の装置。
  15. 半導体処理システムにガスを分配する装置であって、
    入口ポートを夫々有する複数のガス入力ラインと、
    出口ポートを夫々有する複数のガス出力ラインにおいて、前記複数の出口ポートの少なくとも第1の出口ポートが処理チャンバに結合されていて、前記処理チャンバのフロー抵抗に実質的に等しいフロー抵抗を有する複数のガス出力ラインと、
    各対のガス入力とガス出力ラインを夫々結合する複数の接続ラインと、
    各接続ラインを流れるフローを制御するよう夫々配置された複数の接続バルブと、
    各入口ポートへのフローを制御するよう夫々配置された複数のマスガスフローコントローラとを含む装置。
  16. 前記出口ポートのうち少なくとも1つに結合される較正回路を含む請求項15記載の装置。
  17. 前記出口ポートのうち少なくとも1つが設備排気部に結合されている請求項15記載の装置。
  18. 前記出口ポートのすべてが、バルブを介して設備排気部に選択的に結合されている請求項15記載の装置。
  19. 前記出口ポートのすべてが、バルブを介して較正回路に選択的に結合されている請求項15記載の装置。
  20. 半導体処理システムにガスを分配する装置であって、
    入力及び出力チャネルのグリッドが内部に形成された本体において、前記出力チャネルの少なくとも2つが処理チャンバに別々に結合され、各入力チャネルが各出力チャネルに結合されている本体と、
    各接続バルブが各対の入力及び出力チャネルに夫々結合されている複数の接続バルブと、
    前記処理チャンバをバイパスする前記出力チャネルの少なくとも1つに結合されている設備排気部と、
    各入口ポートへのフローを制御するよう夫々配置された複数のマスガスフローコントローラと、
    前記出口ポートの1つに結合され、前記処理チャンバの入口に実質的に等しい制限を行うフロー制限部とを含む装置。
JP2008042829A 2007-02-26 2008-02-25 処理チャンバへのガスフローを制御する方法及び装置 Pending JP2008211218A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/678,622 US7775236B2 (en) 2007-02-26 2007-02-26 Method and apparatus for controlling gas flow to a processing chamber

Publications (2)

Publication Number Publication Date
JP2008211218A JP2008211218A (ja) 2008-09-11
JP2008211218A5 true JP2008211218A5 (ja) 2013-03-28

Family

ID=39581834

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008042829A Pending JP2008211218A (ja) 2007-02-26 2008-02-25 処理チャンバへのガスフローを制御する方法及び装置

Country Status (7)

Country Link
US (1) US7775236B2 (ja)
EP (1) EP1961837A1 (ja)
JP (1) JP2008211218A (ja)
KR (1) KR100975442B1 (ja)
CN (1) CN101256937B (ja)
SG (1) SG145663A1 (ja)
TW (1) TW200845110A (ja)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US8143074B2 (en) * 2007-11-16 2012-03-27 Freescale Semiconductor, Inc. Semiconductor processing system and method of processing a semiconductor wafer
KR20100124264A (ko) 2008-03-12 2010-11-26 파나소닉 주식회사 섬유 제조 방법, 섬유 제조 장치 및 프로톤-교환막 연료 전지
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
KR101038399B1 (ko) * 2008-09-17 2011-06-01 한국수력원자력 주식회사 On-line 전열관 파손감지 기능을 갖는 소듐 냉각 고속로용 증기발생기
JP5216632B2 (ja) * 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8291935B1 (en) * 2009-04-07 2012-10-23 Novellus Systems, Inc. Flexible gas mixing manifold
US20100327196A1 (en) * 2009-06-30 2010-12-30 Tejas Research And Engineering, Lp Purging Mechanism for a Hemi-Wedge Valve
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
CN101989068B (zh) * 2010-11-05 2012-07-18 北京七星华创电子股份有限公司 基于质量流量控制器的模拟工艺系统和方法
WO2012074889A2 (en) * 2010-11-30 2012-06-07 Advanced Technology Materials, Inc. Ion implanter system including remote dopant source, and method comprising same
JP5855921B2 (ja) * 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US20140137961A1 (en) * 2012-11-19 2014-05-22 Applied Materials, Inc. Modular chemical delivery system
CN104167345B (zh) * 2013-05-17 2016-08-24 中微半导体设备(上海)有限公司 等离子处理装置及其气体输送装置、气体切换方法
DE102013012868A1 (de) * 2013-07-29 2015-01-29 Walter Kramer Kupplungspunkt sowie Kupplungsstation für eine pneumatische Förderanlage zur Förderung von Schüttgut
JP6504770B2 (ja) * 2014-06-30 2019-04-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
EP3207558B1 (en) * 2014-10-17 2022-08-03 Lam Research Corporation Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10684159B2 (en) * 2016-06-27 2020-06-16 Applied Materials, Inc. Methods, systems, and apparatus for mass flow verification based on choked flow
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20200017969A1 (en) * 2018-07-10 2020-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method, and Tool of Manufacture
DE102019001471A1 (de) * 2019-02-27 2020-08-27 Walter Kramer Saugfördersystem für Schüttgut, insbesondere Kunststoffgranulat
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
CN112563105B (zh) * 2019-09-10 2023-11-03 中微半导体设备(上海)股份有限公司 等离子体处理装置中实现气体流量验证的系统及方法
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
CN110777428B (zh) * 2019-09-23 2022-03-22 北京北方华创微电子装备有限公司 一种气体输运系统
US11555730B2 (en) 2020-10-09 2023-01-17 Applied Materials, Inc. In-situ method and apparatus for measuring fluid resistivity

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5292788A (en) * 1976-01-30 1977-08-04 Standard Technology Gas diluting apparatus
US4590790A (en) * 1985-05-16 1986-05-27 American Meter Company Method for determining the accuracy of a gas measurement instrument
US4687020A (en) 1985-05-17 1987-08-18 Doyle James H Fluid mass flow controller
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4911101A (en) 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH03156509A (ja) 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5062446A (en) 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5141021A (en) 1991-09-06 1992-08-25 Stec Inc. Mass flow meter and mass flow controller
US5254210A (en) 1992-04-27 1993-10-19 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for growing semiconductor heterostructures
US5303731A (en) 1992-06-30 1994-04-19 Unit Instruments, Inc. Liquid flow controller
US5190068A (en) 1992-07-02 1993-03-02 Brian Philbin Control apparatus and method for controlling fluid flows and pressures
JPH06295862A (ja) 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5293778A (en) 1993-05-27 1994-03-15 General Electric Company Fluid flow measuring system
WO1995019549A1 (en) 1994-01-14 1995-07-20 Unit Instruments, Inc. Flow meter
US5524084A (en) 1994-12-30 1996-06-04 Hewlett-Packard Company Method and apparatus for improved flow and pressure measurement and control
US5653807A (en) 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5911238A (en) 1996-10-04 1999-06-15 Emerson Electric Co. Thermal mass flowmeter and mass flow controller, flowmetering system and method
US5944048A (en) 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
JPH10240356A (ja) 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
KR100251645B1 (ko) 1997-03-21 2000-04-15 윤종용 반도체 공정용 가스 평가장치에 결합되는 샘플가스 분배 장치 및 구동방법
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6269692B1 (en) 1999-02-01 2001-08-07 Dxl Usa Inc. Mass flow measuring assembly having low pressure drop and fast response time
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6733590B1 (en) 1999-05-03 2004-05-11 Seagate Technology Llc. Method and apparatus for multilayer deposition utilizing a common beam source
US6560606B1 (en) * 1999-05-04 2003-05-06 Metratech Method and apparatus for processing data with multiple processing modules and associated counters
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6343617B1 (en) 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6389364B1 (en) 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
US6138708A (en) 1999-07-28 2000-10-31 Controls Corporation Of America Mass flow controller having automatic pressure compensator
US6799603B1 (en) 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6772781B2 (en) * 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
AU2001286619A1 (en) 2000-08-22 2002-03-04 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6814096B2 (en) 2000-12-15 2004-11-09 Nor-Cal Products, Inc. Pressure controller and method
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6439253B1 (en) 2000-12-28 2002-08-27 International Business Machines Corporation System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
US7778920B2 (en) * 2001-03-20 2010-08-17 American Express Travel Related Services Company, Inc. Method and apparatus for providing pre-existing and prospective customers with an immediately accessible account
ATE310986T1 (de) 2001-04-24 2005-12-15 Celerity Group Inc Verfahren zur bestimmung einer ventilöffnung für einen massenflussregler
CN100439561C (zh) 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7004191B2 (en) 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US6712084B2 (en) 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
WO2004010234A2 (en) 2002-07-19 2004-01-29 Celerity Group, Inc. Methods and apparatus for pressure compensation in a mass flow controller
US20040083807A1 (en) 2002-08-28 2004-05-06 Mudd Daniel T. Higher accuracy pressure based flow controller
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
CN1574200A (zh) * 2003-05-12 2005-02-02 艾格瑞系统有限公司 质量流控制流量检定和校准的方法
US20050120805A1 (en) 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4421393B2 (ja) * 2004-06-22 2010-02-24 東京エレクトロン株式会社 基板処理装置
US20060068098A1 (en) 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber

Similar Documents

Publication Publication Date Title
JP2008211218A5 (ja)
JP2008211219A5 (ja)
JP4585035B2 (ja) 流量比率制御装置
SG145668A1 (en) Method and apparatus for controlling gas flow to a processing chamber
SG145663A1 (en) Method and apparatus for controlling gas flow to a processing chamber
SG145669A1 (en) Method and apparatus for controlling gas flow to a processing chamber
TWI505386B (zh) And a gas shunt supply device for a semiconductor manufacturing apparatus
KR102328315B1 (ko) 클러스터 질량 유량 디바이스들 및 이를 포함한 다중-라인 질량 유량 디바이스들
US8434512B2 (en) Rotating disc diluter for fluid flows
JP2006512545A5 (ja)
US8905074B2 (en) Apparatus for controlling gas distribution using orifice ratio conductance control
TWI451220B (zh) 控制壓力與混合比例的方法與設備
JP2017050531A5 (ja)
TWI480712B (zh) A gas shunt supply device for a semiconductor manufacturing apparatus
TW200845113A (en) Flow ratio controller
TW201040683A (en) Fluid control device
CA2565842A1 (en) Medical valve device
JP2019529081A (ja) マイクロ流体デバイスのための流体流制御器の改良またはそれに関する改良
WO2007032146A1 (ja) 流体制御装置
JP4554853B2 (ja) ガス供給集積弁
JP5525374B2 (ja) 流量標準器及びこれを用いる流量校正方法
GB2593635A8 (en) A filter device, and method of assembly
KR20190074930A (ko) 질량유량제어기
JP4314425B2 (ja) 流体制御装置
JP2004280689A (ja) マスフローコントローラ