JP2008112139A - 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ - Google Patents

裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ Download PDF

Info

Publication number
JP2008112139A
JP2008112139A JP2007187993A JP2007187993A JP2008112139A JP 2008112139 A JP2008112139 A JP 2008112139A JP 2007187993 A JP2007187993 A JP 2007187993A JP 2007187993 A JP2007187993 A JP 2007187993A JP 2008112139 A JP2008112139 A JP 2008112139A
Authority
JP
Japan
Prior art keywords
reactor
plasma
power
chamber
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007187993A
Other languages
English (en)
Other versions
JP5584388B2 (ja
Inventor
Richard Lewington
レウイントン リチャード
Alexander M Paterson
エム パターソン アレキサンダー
Michael N Grimbergen
エヌ グリムバーゲン マイケル
Ajay Kumar
クマー アジャイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008112139A publication Critical patent/JP2008112139A/ja
Application granted granted Critical
Publication of JP5584388B2 publication Critical patent/JP5584388B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】エッチングパラメータの複数の周波数制御を有するプラズマリアクタを提供する。
【解決手段】リアクタは、リアクタチャンバと、チャンバ内のワーク支持部とを備えており、チャンバは、ワーク支持部に面する天井と、誘導結合ソース電力アプリケータ及び容量結合プラズマソース電力アプリケータとを有している。光ファイバのアレイは、その底面を通じてワークを見るために、ワーク支持部の支持面を通じて延びている。光学センサは、光ファイバの出力端に結合されている。リアクタは、誘導結合プラズマソース電力アプリケータ及び容量結合プラズマソース電力アプリケータによってチャンバ内のプラズマに同時に結合される電力の相対量を調整する光学センサに応答するコントローラを更に備えている。
【選択図】図33

Description

発明の背景
超大規模集積(ULSI)回路のためのフォトリソグラフィマスクの製造は、半導体ウェハ処理よりもずっと高い程度のエッチング均一性を要する。単一のマスクパターンは、概して、石英マスク上の4平方インチの領域を占める。マスクパターンの画像は、ウェハ上の単一のダイの領域(1平方インチ)に集中され、次にウェハに亘って段階的に進められて各ダイの単一の画像を形成する。石英マスクにマスクパターンをエッチングするのに先立って、マスクパターンは走査電子線によって書かれており、これは単一のマスクのコストを非常に高くする時間の掛かる処理である。マスクエッチング処理はマスクの表面に亘って均一ではない。更に、電子ビームで書かれたフォトレジストパターンは、それ自体は非均一であり、ウェハ上の加工サイズが45nmの場合には、マスク全体に亘って2−3nmほどの限界寸法(例えば、ライン幅)のばらつきを呈する。(このばらつきは、例えば、すべての測定されたライン幅の3σの偏差である。)フォトレジストの限界寸法におけるそのような非均一性は、様々なマスクのソースあるいは顧客に応じて変化する。マスクエッチング処理は、このばらつきを1nm以上に増加させることができず、その結果、エッチングされたマスクパターンのばらつきは、3〜4nmを超過することができない。これらの厳格な要件は、ウェハ上でのシャープな画像を達成するための石英マスクパターンにおける回析効果の使用から生じる。現在の技術では、そのような要件を満たすのは困難である。それは、22nmのウェハ加工サイズを持つかも知れない将来の技術にとっては更に困難になるであろう。この問題は、エッチングバイアスの現象によって悪化し、ここでは、マスクエッチングにおけるフォトレジストパターンの消耗は、石英マスク上のエッチングパターンにおけるライン幅(限界寸法)の減少をもたらす。これらの問題は、マスクエッチング処理に特有である。なぜなら、フォトレジストに関する典型的なマスク材料(例えば、石英、クロム、ケイ化モリブデン)のエッチング選択性が典型的には1未満であるので、その結果、マスクフォトレジストパターンがマスクエッチング処理中にエッチングされるからである。
いくつかのマスクパターンは、正確に規定された深さで石英マスクに周期的な開口をエッチングすることを要し、それは、マスクを通じたウェハの露出中の干渉光ビームの非常に微細な位相の位置決めを達成するのに重大である。例えば、位相シフトのマスクの1つの種類においては、各ラインは、クロムラインの各側に露出した薄い石英ラインを伴うクロムラインによって規定され、一側の石英ラインのみが、エッチングされていない石英ラインを通過する光に対して光の180度の位相シフトを提供する正確な深さにエッチングされる。石英におけるエッチング深さを正確に制御するために、エッチング処理は、石英におけるエッチング深さを測定するために、周期的にそれを中断することによって念入りに監視されなければならない。そのような検査は、各々、マスクエッチングリアクタチャンバからマスクを除去すること、フォトレジストを除去すること、エッチング深さを測定すること、その後、経過したエッチング処理時間に基づいた目標深さに達するまでの残りのエッチング処理時間を推定すること、新しいフォトレジストを蒸着すること、電子ビームがレジスト上のマスクパターンを描くこと、マスクエッチングチャンバ内にマスクを再導入すること、そして、エッチング処理を再開することを要する。所望する深さに達するまでの残りのエッチング時間の推定は、エッチング速度が安定及び均一なままであり、したがって、信頼性が低いと想定される。そのような厄介な処理の問題は、低い生産力及び高いコストを含み、同様に、フォトレジストパターンにおける汚染あるいは欠陥の機会の増大を含んでいる。しかしながら、正確に制御されたエッチング深さの要求のために、そのような問題を回避する方法は存在しないようである。
限界寸法のばらつきの小さな許容範囲は、マスク表面に亘るエッチング速度の極めて均一な分布を要する。石英材料における正確なエッチング深さを要するマスクにおいては、2つの限界寸法があり、一方はライン幅であり、他方はエッチング深さであり、両方の種類の限界寸法の均一性は、マスクに亘る均一なエッチング速度分布を要する。エッチング速度分布における非均一性は、ソース電力アプリケータの利用によって或る程度まで低減することができ、それは、プラズマイオン密度の放射状分布を変えることができる(ウェハの上にある内側及び外側コイルアンテナからなる誘導ソース電力アプリケータ等)。しかしながら、そのようなアプローチは、対称な非均一性(即ち、中央が高い、あるいは、中央が低いエッチング速度分布)だけに対処することができる。実際には、エッチング速度分布での非均一性は、非対称(例えば、マスクの1つのコーナにおいて高いエッチング速度等)であることができる。より基本的な制限は、マスクエッチング処理がエッチング速度のそのような中央で極めて低い分布を有する傾向があり、調整可能な特徴(内側及び外側コイルを有するそのような誘導電力アプリケータ)が中央で低い体制からエッチング速度分布を変えることが可能ではないということである。
非均一なエッチング速度分布に関する別の問題は、エッチング速度分布が同じ設計の異なるリアクタの中で大きく変わる傾向があり、重要な部分あるいは消費可能な構成要素が交換(陰極の交換等)される場合には常に同じリアクタ内において広く変わることができるということである。エッチング速度分布は、消費可能な交換に際して予測不能な変化を伴って交換された部分の特徴における小さなばらつきに高度に敏感なようである。
概要
エッチングパラメータの複数の周波数制御を有するプラズマリアクタが提供される。リアクタは、リアクタチャンバと、チャンバ内のワーク支持部とを備え、チャンバはワーク支持部に面する天井を有している。誘導結合プラズマソース電力アプリケータは天井の上にあり、RF発電機は誘導結合ソース電力アプリケータに結合されている。容量結合プラズマソース電力アプリケータは、天井あるいはワーク支持部にソース電力電極を備えている。通路のアレイはその底からワーク支持部に亘って延び、ワーク支持部の支持面における開口のアレイを形成している。光ファイバのアレイは通路を通じて延び、各ファイバは、(a)支持面における開口を通じた視界を備えた視端、及び(b)チャンバの外側の出力端を有している。光学センサは光ファイバの出力端に結合されている。前記リアクタは、誘導結合プラズマソース電力アプリケータ及び容量結合プラズマソース電力アプリケータによってチャンバ内のプラズマに同時に結合される電力の相対量を調整する光学センサに応答するコントローラを更に備えている。
詳細な説明
高RF均一性を有する陰極
我々は、マスクエッチング処理における不均一なエッチング速度分布の原因の1つが、当該マスクエッチング処理が行なわれるプラズマリアクタ中のマスクを保持する支持土台あるいは陰極におけるRF電気の不均一の存在にあることを見出した。RFバイアス電力は、マスク表面のプラズマイオンエネルギを制御するために土台に加えられるのに対して、RFソース電力は、プラズマイオンを生成するために、例えば、上部コイルアンテナに加えられる。RFバイアス電力は、イオンエネルギに影響を与えるマスク表面の電界を制御する。マスク表面のイオンエネルギは、エッチング速度に影響を与えるために、土台におけるRF電気の不均一は、マスク表面全体に亘るエッチング速度の分布における不均一を招く。我々は、土台におけるRF不均一の原因のいくつかを見出した。1つは、アルミニウム土台(陰極)とアルミニウム表面板(facilities plate)とを螺合するチタンねじである。上記のねじは、土台の表面全体に亘って(つまり、マスク表面全体に亘って)電界パターンにおけるノードを形成する。なぜなら、上記ねじの電気的特性は、アルミニウム陰極のそれとは異なるからである。もう1つは、陰極と表面版との導電率の不均一な分布である。表面板と陰極との電気伝導は、主として表面板と陰極の周縁に限定される。これは、少なくとも部分的にはプラズマ処理中の真空圧力による陰極のそりに起因する。この周縁の伝導は、チタンねじの不均一な締め付け及び/又は表面板又は土台の周縁付近の表面仕上げの変化等、数多くの要因により不均一となることがある。我々は、土台全体に亘ってRF電気の均一性を向上するいくつかの特徴を導入することにより、この問題を解決した。第一に、アルミニウム陰極にチタンねじが存在することによるRF電界の不均一又は不連続性を、すべてのチタンねじの頭を被包する連続したチタンリングを陰極の上面周縁に延伸するように配することによって解決しようとするものである。表面の不均一又はチタンねじの不均一な締め付けによる導電率の変化を、高導電性ニッケルメッキを表面板と陰極の対向する表面周縁に施すこと、及び表面板と陰極との間に両者によりその周縁で圧縮されるRFガスケットの導入によって解決しようとするものである。
図1に示すように、マスクにパターンをエッチングするためのプラズマリアクタは、側壁12とその上にある天井14とにより密閉された真空チャンバ10を備え、チャンバ圧力を調整する真空ポンプ15によって真空引きされる。チャンバ10内のマスク支持土台16は、マスク18を支持する。本明細書において後述するように、マスクは、典型的には石英基板からなり、また石英基板の上面に更にクロムやケイ化モリブデン等のマスク薄膜層を備えていてもよい。更に、パターン規定層が存在するが、それはフォトレジスト又はクロム層からなるハードマスクでも良い。他のマスクの種類としては、上記石英基板は、フォトレジストパターンを除いては被覆層を有していない。
プラズマソース電力は、各々RFインピーダンス整合回路28、30を介して各RFソース電力発生器24、26により駆動され、また、上方に位置する内側及び外側コイルアンテナ20、22により加えられる。側壁12は、接地接続されたアルミニウム又は他の金属でもよいのに対して、天井14は、典型的には、コイルアンテナ20、22からチャンバ10内へのRF電力の誘導結合を許容する絶縁材料である。処理ガスは、ガスパネル36からガスマニホールド34を通り、側壁12の上部に等間隔に配置された噴射ノズル32を介して導入される。ガスパネル36は、各々のバルブ又はマスフローコントローラ40を介してマニホールド34に接続された出力バルブ又はマスフローコントローラ42に接続された異なるガス供給源38から構成されることが可能である。
マスク支持土台16は、金属(例えば、アルミニウム)表面板46上に支持される金属(例えば、アルミニウム)陰極44からなる。陰極44は、表面板46における供給口及び排出口(図示せず)により供給又は真空引きされる内部冷却液又は加熱液流路(図示せず)を有する。RFバイアス電力は、RFバイアス電力発生器48により、RFインピーダンス整合回路50を介して上記表面板に加えられる。RFバイアス電力は、表面板46と陰極44との界面を通り、陰極44の上面へと導通する。陰極44は、その上に角形の石英マスクあるいは基板18が支持される中央平坦部44aを有する。平坦部の寸法は、一般にマスク18の寸法と一致している。しかし、後述するように、平坦部44aは若干小さく、その結果、マスク周縁部の小部分あるいは端縁18aが、平坦部44aを短い距離超えて延びている。平坦部44aを囲む土台リング52は、(図2B又は図7に示す楔形又はパイ片状に)リング52の約2/5を形成するカバーリング52aと、残余の3/5を形成するキャプチャリング52bとに分割されている。キャプチャリング52bは、マスク18の端縁18aが載置される棚部54を有する。3本のリフトピン56(図1ではそのうち1本だけを示している)がキャプチャリング52bを持ち上げるが、それにより、マスク18は、マスク18を支持土台16から分離することが望まれる際はいつでも、端縁18aによって上昇させられる。土台リング52は、バイアス電力発生器48の周波数において、石英マスク18とアルミニウム平坦部44aとの組合せにより得られるRFインピーダンスに整合するように選択された異なる電気的特性を持つ材料の層53、55からなる。(カバーリング52aとキャプチャリング52bの両者は、異なる層53、55からなる。)更に、キャプチャリング52の上面は、マスク18の上面と共面をなし、そのために、マスク18の端部を超えて延びる大きく均一な表面が、プラズマ処理中のマスク18の表面全体に亘る均一な電界及びシース電圧を促進する。典型的には、下部リング層55が石英で、上部リング層53がアルミナ等のセラミックの場合にこうした条件が満たされる。処理コントローラ60は、ガスパネル36、RF発生器24、26、48、及びウェハハンドリング装置61を制御する。ウェハハンドリング装置は、リフトピン56に接続されたリフトサーボ62、ロボットブレードアーム63、及びスリットバルブ64をチャンバ10の側壁12中に備えることができる。
等間隔に配された一連のチタンねじ70は、陰極44と表面板46とをその周縁に沿って固定する。アルミニウム陰極/表面板44、46とチタンねじ70との電気的相違のために、ねじ70は、陰極44の上面でRF電界に別々の不均一性を与える。陰極44と表面板46の対向する表面におけるばらつきは、陰極44と表面板46との間にその周縁に沿って導電性の不均一を作り出し、その結果、対応する不均一性がRF電界にも生じる。陰極44は、プラズマ処理中にその中央部がそり上がり易いために(チャンバの真空により)、陰極44と表面板46との主要な電気的接触は、その周縁においてなされる。陰極44と表面板46との間の導電率の感度を(a)様々なチタンねじ70間の締め付けのばらつき、及び(b)表面特性におけるばらつきに低減するために、ニッケル等の高導電材料からなる環状薄膜72が、陰極44の下面44bの周縁に蒸着され、一方、対応する(例えば)ニッケルからなる環状薄膜74が、表面板46の上面46a上に蒸着される。ニッケル膜72、74は、相互に位置合わせがなされ、その結果、2つの環状ニッケル薄膜72、74は、土台44と表面板46の対向する接触面を構成し、両者に非常に均一な分布の導電率を与える。更なる導電率の均一性向上は、環状溝76を陰極44の下面の周縁に沿って形成し、環状溝76中に導電性RFガスケット80を配置することにより実現される。必要に応じて、環状溝76と並ぶ環状溝78を表面板46の上面に形成することが可能なRFガスケット80は、陰極44と表面板46が押圧されてねじ70が締め付けられる際に圧縮される金属製つる巻細線等、適切な従来のものであることが可能である。チタンねじ70の頭に生じ易い電界分布における点状不均一を抑制又は解消するために、連続したチタンリング82が、陰極44の上面の周縁における環状溝84の周囲に配置される。
図2Aは、マスク支持土台16と、その下のリフト部品90を示している。リフト部品90は、空気圧アクチュエータあるいはリフトサーボ94により駆動されるリフトスパイダ92と、該リフトスパイダ92状に載置される3本のリフトピン56とを備えている。リフトピン56は、極度に滑らかで殆ど摩擦のない動作(摩耗から生じる汚染を抑制するため)で、玉軸受98を備えるリフトベローズ96中を導かれる。図2Bは、キャプチャリング52bとマスク18と共に上昇させられた状態の陰極44を示している。マスクが上昇させられた際のカバーとキャプチャリング52a、52bとの分離により形成された間隙により、ロボットブレードは、マスク18へアクセスすることができる。
マスク18の表面全体における極度に中央部で低いエッチング速度分布の問題は、陰極平坦部44aの電気的物性(例えば、誘電率)の分布を変更することにより解決される。これは、一実施形態において、平坦部44aの上面に中央インサート102及び周辺外側インサート104を設けることにより達成され、この2つのインサートは、土台リング52と共に連続した平坦な表面を形成すると共に、電気的に異なる材料からなる。例えば、エッチング速度分布が極端に中央部で低くなる傾向を抑制するために、中央インサート102は導電性材料(例えば、アルミニウム)のものであってもよく、一方、外側インサート104は絶縁材料(例えば、アルミナのようなセラミック)のものであることが可能である。この導電性の中央インサート102は、RF電流に更に低いインピーダンス経路を与え、マスク18の中央部でイオンエネルギとエッチング速度とを増大させる一方、絶縁性外側インサート104は、より高いインピーダンスをもたらし、マスク18の周辺のエッチング速度を減少させる。この組合せがエッチング速度分布を改善し、それをほぼ均一にさせる。この特徴によって、エッチング速度分布の微細な調整が、内側及び外側コイルアンテナ20、22に加えられる相対RF電力レベルを調整することにより可能となる。均一なエッチング速度分布を達成するのに要求されるプラズマイオン密度の放射状分布の変化は、はるかに少ない量にまで減少され、それは、均一なエッチング速度分布を得るための内側及び外側コイル20、22間のRF電力配分の能力の範囲内である。図3は、内側及び外側インサート102、104の上面図である。他の実施形態において、インサート102、104は、異なる誘電率(電気的誘電率)を有する絶縁体であることが可能である。図4及び図5は、この概念の詳細を示し、4個の累進的に異なる電気的物性を持つ同心円状リング102、104、106、108がエッチング速度分布をより均一にするために用いられている。図6及び図7は、陰極44のRF電気的物性の分布のリアルタイム調整性を提供する他の実施形態を示している。プランジャ110は、陰極44の中央内部の中空シリンダ114内で、可動アルミニウム板112の軸方向位置を調整する。アルミニウム板112は、アルミニウム平坦部44aの残部に電気的に接触している。絶縁体(例えば、セラミック)の上部フィルム116は、陰極44の上部を覆うことができる。アルミニウム板112が押されてシリンダ114の上部へ近づくにつれ、陰極44の中央領域を通じて電気インピーダンスは減少し、それによりマスク18の中央でエッチング速度を増加させる。反対に、マスク中央のエッチング速度は、アルミニウム板112がシリンダ114内でマスク18から遠ざかるように下方へ移動されるにつれて減少する。プランジャ110の軸方向の動きを調整するアクチュエータ118は、処理コントローラ60(図1参照)により、均一性を最大限にするように又は不均一を補正するようにエッチング速度分布を調整するように制御されることができる。
マスク裏面におけるエッチング速度の監視と終了点検出
マスク上のエッチング深さ又は微小寸法を測定するためのエッチング処理の周期的中断による製造コストの上昇は、陰極44及びマスク又は基板18の裏面を介した光学的検出を用いることで抑制又は解消される。フォトレジストに対する低いエッチング選択性により、こうした周期的測定を行なうためにエッチング処理を中断することが必要であった。一般に、マスク材料は、フォトレジストよりも遅い速度でエッチングされる。この問題は、マスク上にフォトレジストの厚い層を蒸着することにより解決が図られるが、レジストの高いエッチング速度により、フォトレジスト表面には不規則な凹凸又は粗面ができる。この凹凸は、フォトレジストを通過する光に影響を与え、その結果、いかなる微小寸法やエッチング深さの光学的測定にもノイズを与えてしまう。そのために、フォトレジストは、ノイズのない光学的測定を確保するために周期的測定ごとに一時的に除去されるので、中断されたマスクエッチング処理を再開する前には、フォトレジストの再蒸着やレチクルパターンのフォトレジストへの再書き込みが必要となる。
図8に示すマスクエッチングプラズマリアクタは、このような困難を回避するもので、陰極44中に設けられた裏面光学測定装置を用いることにより、全エッチング処理中の連続的な微小寸法の観察又はエッチング深さの測定を、マスク又は基板18をマスク支持土台16上に載置したままで行なうことが可能である。裏面測定装置は、典型的には、石英製のマスク基板18の光学的に透明な性質を利用するものである。その上に蒸着されることが可能である薄膜(クロム又はケイ化モリブデン等)は不透明であることが可能であるが、マスク18のレチクルパターンを規定するパターン状開口の形成は、光学的に検出される。このような層により反射又はこのような層を透過する光の強度の変化は、陰極44を介してマスク裏面で観察されることが可能である。この観察は、エッチング処理終了点検出を行なうために用いられることが可能である。石英材料をエッチングする際には、マスク裏面で陰極44を介して観察される光学干渉を、エッチング処理中にエッチング深さ測定をリアルタイムで行なうために検出することが可能である。利点の1つとしては、マスク裏面から検出される画像又は光学信号がフォトレジストのノイズにより影響されないこと、又は、少なくとも、マスク18の上面(フォトレジスト側)からこのような測定を行なう試みと比較するとその影響が非常に少ないことがある。
これらの目的のために、図8のリアクタは、陰極44の上面においてマスク又は基板18の裏面に対向する光学軸を持つレンズ122を収容する凹部120を備える。一対の光ファイバ124、126は、その径がレンズ122に比べて小さく、且つ、レンズ122に接近あるいは接触する端部124a、126aを有しており、また、両者は、レンズ122の光学軸において隣り合うよう並置されている。図8に示す光ファイバ124、126の各々は、実際には小さな複数の光ファイバの束であることが可能である。光ファイバ124は、その他端124bが光源128に接続されている。光源は、マスク18が透明となる波長の光、典型的には石英マスクに対しては可視光を発する。干渉深さ測定の場合、光源128の波長スペクトルは、マスク18のレチクルパターンにおける局所的コヒーレンスを容易にするように選択される。約45nmのエッチングされたマスク構造中の周期的形状(又は1ミクロン未満の周期的形状サイズ)に対しては、光源が可視光スペクトル中の光を放射するときに、この条件が満たされる。光ファイバ126は、その他端126bが光受容器130に接続されている。単純な終了点検出の場合、光受容器130は、単に光の強度を検出すればよい。微小寸法(例えば、線幅)測定の場合、光受容器130は、レンズ122の視野中にあるエッチングされた配線の画像を検出してもよく、それから線幅を判断することができる。エッチング深さ測定の場合、光受容器130は、干渉パターン又は干渉縞を検出してもよく、それによりエッチング深さが判断される(つまり、干渉又は回折パターンから推定又は干渉縞の計数から演算される)。他の実施形態において、光受容器130は、多数の波長の干渉測定を行なうための分光計を備えることが可能であり、それによりエッチング深さが推定又は演算されることが可能である。このような測定のために、処理コントローラ60は、光受容器からの光学信号を処理することができる光学信号プロセッサ132を備えている。このような光学信号処理は、以下のいずれかを(特定の形態に応じて)含むことが可能である。周辺光の強度の変化からエッチング処理終了点検出を行なう;光受容器130によって検出される2次元画像から微小寸法を測定する;干渉縞を計数することによりエッチング深さを演算する;多数の波長の干渉スペクトルからエッチング深さを測定する。この場合、光受容器130は、分光計からなる。これに代えて、このような分光計は、プラズマから出射され透明マスク18を透過する光を用いてウェハ裏側からの光学発光分光法によるエッチング処理終了点検出を行なうために採用されてもよく、その場合、光源128は、用いられない。
処理コントローラ60は、光学信号プロセッサ132からの処理終了点検出情報(又はエッチング深さ測定情報)に応答し、RF発生器24、26、48、及びウェハハンドリング装置61を含むプラズマリアクタの様々な要素を制御する。典型的には、処理コントローラ60は、エッチング処理終了点に達したときに、エッチング処理を終了し、マスク18が土台16から除去されるようにする。
図9は、クロムエッチング処理(石英マスク表面上のクロム薄膜がマスクのレチクルパターンに応じてエッチングされる)中の時間に対するマスクの上面(フォトレジスト塗布面)から検出した周辺反射光強度の関係を示すグラフである。図9に示される強度の大きな変動は、フォトレジスト層の上面の凹凸により引き起こされるノイズを表わしている。破線は、ノイズ中に隠された階段関数信号を表わし、その階段関数は、クロムエッチング処理終了点と一致する。図10は、図8のリアクタ中の陰極44を介してウェハ裏面から行なった同様の測定のグラフであり、光受容器130が反射光レベルを検出している。フォトレジスト由来のノイズは、大きく減少し、その結果、終了点規定段階関数が光学データ中に明確に現れている。階段関数の端部は、エッチング処理がクロム薄膜の底部に達する際に反射光強度が下降する遷移点を表わし、その点では、クロムの反射表面積が急峻に減少している。
図11及び図12は、時間に対する(即ち、空間に対する)光強度のグラフであり、図12においては、光受容器130によって検出されるように、光強度の周期的ピークが干渉縞に対応し、その間隔は、エッチング深さ、あるいは透明な石英マスク基板18にエッチングされる近接した周期的間隔を持つ形状の異なる表面間の厚さの差を決定している。図11は、マスク上面からフォトレジストを介して検出された強度を示し、干渉縞検出を阻害するフォトレジスト由来の大きなノイズが含まれているのを示している。図12は、図8の光受容器130によってマスク裏面を介して検出された強度を示し、フォトレジスト由来のノイズは、実質的に存在しないことを示している。
図13は、光受容器130が分光計からなり光源128が複数の波長スペクトルを発生する場合の波長に対する光強度の関係を表わすグラフである。図13のグラフに示す強度スペクトラムの挙動は、干渉効果が透明マスク18中に周期的間隔で配置されたサブミクロン形状中の異なる深さを持つ表面から反射される光の間で生じる場合に典型的なものである。低波長においては、ピークは、比較的周期的で等間隔であり、ここでは、主要な光効果は干渉である。より高い波長では、マスク18中の周期的形状間の局所的コヒーランスは、さほど強くなく、そのため、回折効果は、波長が増大するにつれて大きくなり、図13に示すように、高波長での強度の挙動は、より不等間隔でより複雑となる。図13中のピークの間隔は、特に低波長において、エッチング深さの関数であり、エッチング深さは、ピーク間隔から推測される。
図14は、図8のリアクタの一実施形態を例示しており、ここでは、光受容器130は、周辺光強度検出器であり、光学信号プロセッサ132は、図10の終了点検出グラフに対応する反射光強度全体における大きな屈曲点(階段関数)を探すようにプログラムされている。この実施形態の光源128は、適切なものであればいかなる光源でもよい。これに代えて、光源128は、省略されてもよく、その場合、光センサ130は、単に透明マスク又は基板18を透過するプラズマからの光に応答する。
図15は、図8のリアクタの一実施形態を例示しており、ここでは、光受容器130は、干渉縞を解像するレンズ122よって充分にフォーカスされる干渉縞検出器であり、光学信号プロセッサ132は、透明石英マスク18のエッチング深さを演算するために(例えば、図12に示されるような時間に対する強度のデータから)干渉縞を計数するようにプログラムされている。この演算により、実質的に即時にエッチング深さが演算され、ロジック200によりメモリ202に記憶されているユーザ規定による目標深さと比較される。ロジック200は、記憶されている深さの値と測定された深さの値との一致を検出するために、従来の数的一致又は最小化ルーチンを用いることができる。一致によって、ロジック200は、処理コントローラ60に対するエッチング終了点のフラグを立てる。
図16は、図8のリアクタの一実施形態を示し、ここでは、図13の干渉分光法が透明石英マスク又は基板18のエッチング深さを測定又は判断するために用いられる。この場合、光源128は、可視領域の多数の波長又はスペクトラム(約数百ナノメートル以下の周期的なマスク形状のサイズに対して)を出射する。光受容器130は、分光計である。複合信号調整器兼アナログ−デジタル変換器220は、分光計130により収集されたスペクトル情報(図13のグラフに対応する)を光学信号プロセッサ132が取り扱うことができるデジタルデータに変換する。終了点検出を行なうことができる1つのモードでは、上述したように、エッチング深さを図13に示すデータの低波長領域中の周期的ピーク間の間隔から演算する。比較ロジック200は、瞬時に測定されたエッチング深さをメモリ202に記憶されたユーザが規定した目標深さと比較し、エッチング処理終了点に達したか否かを判断することができる。他のモードでは、比較ロジック200は、充分にロバストであり、分光計130の即時出力を表わすデジタル化された波長スペクトル(図13のグラフに対応)と所望のエッチング深さに対応する周知のスペクトルとを比較できる。この周知のスペクトラムは、メモリ202に記憶されることが可能である。比較ロジック200により検出される、測定されたスペクトルと記憶されているスペクトラムとの一致又は近似的一致により、エッチング処理終了点フラグが処理コントローラ60に送られることになる。
図17は、図8のリアクタの一実施形態を示し、ここでは光受容器130は、チャンバ中のプラズマによって出射される光学的放射からの輝線を区別可能で、光学発光分光(OES)を行なう光学発光分光計である。プロセッサ132は、エッチングされる層中の材料を示す化学種に対応する選択された光学線の強度を追跡する(又はその消失を検出する)ようにプログラムされたOESプロセッサである。所定の移行(例えば、クロムエッチング処理中のOESスペクトラムにおけるクロム波長線の消失)の際には、プロセッサ132は、処理コントローラ60にエッチング処理終了点検出フラグを送る。
図18は、我々が構成した一実施形態を示し、ここでは、間隔を置いた凹部231、233中に各々一対のレンズ230、232が設けられており、レンズ230、232は、干渉縞を解像するようフォーカスされており、集光された光は、各々レンズ230、232に対向又は接触する光ファイバ234、236によって伝送される。光ファイバ234、236は、干渉検出器238(これは、干渉縞検出器あるいは分光計であることが可能である)に接続され、検出器238は、処理コントローラ60に接続された出力を有している。レンズ230、232は、光源240から光ファイバ242、244を介して光を受ける。この光は、マスク18の上面からレンズ230、232に向かって反射され、光ファイバ234、236によって検出器238に伝送される。更に、図18の実施形態は、光ファイバ252を介してOES分光計254に接続される第3のレンズ250を収容する第3の凹部249が陰極表面に備えられている。OESプロセッサ256は、OES分光計254の出力を処理し、終了点検出を実行し、処理コントローラ60にその結果を送信する。図18の実施形態の陰極44が図19に示されており、ここでは、レンズ230、232、250を各々収容する3つの凹部231、233、249が示されている。図20は、表面板46中にレンズ230、232、250をサポートする光学機器を収容するための対応する孔260、261、262を示している。図21は、土台16中の光ファイバとレンズとの接続を示す断面図である。
図16、図17、図18では、分光計130(図16及び図17)及び254(図18)が用いられているが、分光計130又は254は、所定の波長用に調整された一又は複数の光学波長フィルタで置き換えられてもよい。上記の各光学波長フィルタは、信号強度を増強するために、光電子増倍管と組み合わせることが可能である。
裏面終了点検出マスクエッチング処理
図22A及び図22Bは、マスクの石英材料中にレチクルパターンをエッチングする処理を示している。図22Aにおいて、石英マスク基板210は、間隔を空けた線214及びフォトレジスト層212中に定められた開口216による周期的構造を有するフォトレジスト層212によって覆われている。図15及び図16のリアクタにおいては、CHF3+CF4+Arの石英エッチング処理ガスがチャンバ10内に導入され、電力がRF発生器24、26、48により加えられ、また、石英材料は、フォトレジスト層212中に形成された開口216の中でエッチングされる。石英中のエッチング深さは、石英基板210のエッチングされた上面から反射された光218とエッチングされていない上面から反射された光219との干渉により連続的に測定される。エッチング処理は、所望のエッチング深さに達すると即時に中断される(図22A)。そして、所望のマスクを形成するためにフォトレジストが除去される(図22B)。
図23A〜図23Eは、下層石英マスク基板210、ケイ化モリブデン層260(モリブデン−酸化シリコン窒化物[molybdenum oxy−silicon nitride])、クロム層262、酸化クロム反射防止コーティング264及びフォトレジスト層266からなると共に、フォトレジスト層266に開口268が形成された3層マスク構造(図23A)をエッチングする処理を示している。図23Bのステップにおいて、クロム層262及び反射防止コーティング264は、単純な反射終了点検出を有するプラズマリアクタチャンバ(図14のチャンバ)又はOES終了点検出を有するチャンバ(図17のチャンバ)中で、Cl+O+CF等のクロムエッチング処理ガスを用いてエッチングされる。フォトレジスト層266が除去される(図23C)。その後、図23Dに示すように、ケイ化モリブデン層260が、SF+Cl等のケイ化モリブデンのエッチング剤である処理ガスを用い、クロム層262をハードマスクとして用いてエッチングされる。このステップは、図14又は図17のチャンバのような単純な周辺反射による又はOES終了点検出による終了点検出を行なうプラズマリアクタ中で行なわれる。図23Eにおいて、クロム層262及び酸化クロム反射防止コーティング264は、CH+CF+Ar等のクロムエッチング処理ガスを用いて除去される。このステップは、エッチング深さ測定を伴わない単純な終了点検出を行なう図14又は図17のリアクタを用いて行なわれる。これにより、レチクルパターンが規定されたケイ化モリブデンの被覆層を有する石英マスク基板が残される。
図24A〜図24Eは、透明石英マスク上に形成され、周期的間隔で石英が露出する空間の横に位置する周期的なクロム線からなるバイナリマスクを製造する処理を示しており、上記石英が露出する空間は、1つおきに透過光が所望の角度(例えば、180度)で位相偏移する深さまでエッチングされている。図24Aは、石英マスク基板300、クロム層302、酸化クロム反射防止コーティング304、及びフォトレジスト層306からなる初期構造を示している。図24Bのステップでは、クロム及び酸化クロム層302、304は、図14又は図17のチャンバのようなリアクタチャンバ中のCl2+O2+CF4の処理ガスの中でエッチングされる。図24Cのステップでは、フォトレジスト層306が除去され、その後、石英マスク基板300の露出部分が図24Dに示すようにCHF3+CF4+Arの石英エッチング処理ガス中でエッチングされる。図24Dの石英エッチングのステップは、図15又は図16のチャンバのような、石英マスク基板300中のエッチング深さを検出又は監視できるリアクタチャンバの中でエッチングされる。このエッチング処理中に、即時エッチング深さが連続的に監視され、エッチング処理は、マスク300上で目標エッチング深さに達するとすぐに中止される。最終結果物を図24Eに示している。
マスク表面全体に亘るエッチング深さ分布の連続的監視
図25及び図26は、図1のウェハ支持土台16の一実施形態を示し、ここでは、マトリクス状の裏面エッチング深さ検出素子(レンズ及び光ファイバ)が陰極44の上面に設けられており、それによりエッチング処理中のマスク又は基板の全表面に亘るエッチング速度分布又はエッチング深さ分布の瞬間的画像又はサンプルが、エッチング処理を中断することなくまたマスク基板を乱すことなく、連続的に得ることができる。アルミニウム平坦部44aは、その上面にマトリクス状の開口320を有し、各開口は、マスク基板300の裏面に対向するレンズ322を保持している。光源324は、各々レンズ322と接続された出力光ファイバ326を介して光を供給する。レンズ322は、干渉縞を解像するのに充分なフォーカシングを与える。干渉検出器328は、干渉縞の計数を容易にするセンサ又は分光計でもよく、各々レンズ322と接続された入力光ファイバ330と接続されている。スイッチ又はマルチプレクサ332は、各入力光ファイバ330から検出器328へ光が順に入るようにする。図25及び図26の装置が動作するモードには、3種類のモードがある。第1のモードでは、所定の1つのレンズ322の視野中のエッチング深さが干渉縞の間隔から演算される。第2のモードでは、検出器328は、分光計であり、所定の1つのレンズ322の視野中のエッチング深さが、多数の波長の干渉スペクトルでの低波長ピーク間隔(図13に対応)から演算される。第3のモードでは、多数の波長の干渉スペクトルが一定の時間に検出され、対応するエッチング深さが分かっているスペクトラムのライブラリ340と比較される。エッチング速度分布は、エッチング深さと経過時間から演算される。この分布は、処理のエッチング不均一を記録し、処理コントローラ132に送られる。コントローラ132は、リアクタの調整可能な特性を調整することによって応答し、エッチング速度分布の不均一性を減少することができる。
図25及び図26の実施形態では、3×3のマトリクス状のエッチング深さセンサ又はレンズ322が平坦部44aの上面に備えられているが、こうしたセンサのマトリクスにはいかなる数の行及び列が採用されてもよく、そのために、マトリクスはn×mのマトリクスであり、ここで、m及びnは適切な整数である。
一実施形態において、処理コントローラ132は、エッチング速度分布が中央部で高いか中央部で低いかを(分光計又はセンサ130により供給されるエッチング速度分布情報から)推定するようにプログラムされることが可能である。処理コントローラ60は、この情報にリアクタの調整可能な特性を調整することによって応答し、不均一性を減らすことができる。例えば、処理コントローラ60は、内側及び外側コイル20、22間のRF電力の配分を変更することが可能である。これに代えて、あるいは、これに加えて、処理コントローラ60は、図6及び図7のリアクタ中の可動アルミニウム板112の高さを変更することが可能である。平坦部44a中のエッチング深さ検出素子のアレイ又はマトリクスからのフィードバックによって、処理コントローラ60は、エッチング速度分布の均一性をリアクタの調整可能要素の連続的な試行錯誤調整により改善することができる。
リアルタイム構成可能な処理ガス分配
図27及び図28は、個別に制御可能なガス噴射口又はノズル32のアレイを有する、図1のプラズマリアクタの一実施形態を示している。異なるノズル32を個々に制御することにより、チャンバ10内のガス分布は、ワーク又はマスク18全体に亘るエッチング速度の不均一な分布を修正するように変更されることができる。この例示される実施形態において、ガス噴射ノズル32のアレイは、側壁12の天井14近傍に位置している。この目的のために、リアクタは、側壁12の上部と脱着可能な蓋342との間に保持されるトップリング338を備え、蓋342は、天井14を構成している。トップリング338の下面の外側ショルダ344は、側壁12の上面に配置される。リングの上面の内側ショルダ346は、蓋342の端部を受ける。外側ショルダ348は、蓋342の下面に設けられ、リング338の内側ショルダ346内に載置されている。ガス噴射口又はノズル32は、リング338の縦方向の内面349に形成されている。各噴射ノズル32へのガス流は、別体のバルブ350により個別に制御され、各ノズル32には、各々1つのバルブ350がある。ガスパネル36から供給される処理ガスは、リング338上に形成された入口ポート354に接続されたガス供給ライン352を通じて流れる。リング338に形成されたガス供給出口356−1、356−2は、入口ポート354で受けられた処理ガスを排出する。一連の分断可能なガス流路358は、処理ガスが各々のガス供給出口又はポート356から対応するバルブ350の組へ通流するリング338の周囲の外側の直列接続を形成する。
好ましい一実施形態において、各バルブ350は、空気圧で制御されると共に、流入口ポート350a及び流出口ポート350bと、制御ガス入口ポート350c及び空気圧制御入口ポート350dとを有している。出口ポート350cは、制御された処理ガス流を対応するノズル32の1つに供給する。処理ガスは、流入口ポート350aから流出口ポート350bへ自由に流れる。制御入口ポート350dの圧縮空気圧は、流入口ポート350a、流出口ポート350bを通過するいずれのガスをガス出口ポート350cへ迂回させるかを決定する。このような空気圧で制御されるバルブは周知のものであり、そのためここではそれらの内部構造は開示されない。ガス流路358−1、358−2は、ガス供給出口356−1、356−2からバルブ350−1、350−2の流入口ポート350aへ接続されている。残りのガス流路358の各々は、1つのバルブ350の流出口ポート350aから連続するバルブ350の流入口ポート350bへ接続されている。こうして、図28の図面の左側にある一連のバルブ350を通して流れるガス流は、反時計回りに流れる一方、図28の図面の右側にある一連のバルブ350を通して流れるガス流は、時計回りに流れる。
各出口ポート356からそれに接続される一連のバルブ350へのガス流は、その系列内に介在するどのバルブ350にも遮られない。各バルブ350は、対応するガス噴射口32へガス流を供給する他のどのバルブ350を開閉することなく、「開」にすることができ、また、その噴射口へのガス流を遮断するために「閉」にすることができる。バルブ構成プロセッサ360は、すべてのバルブ350を制御し、バルブ制御リンク362を介してバルブ350のいかなる組合せをも開閉することができる。上述したように、好ましい一実施形態においては、バルブ350は、空気圧バルブであり、制御リンク362は、コイルアンテナ20、22付近の導電体の存在を回避するための空気圧(エア)チューブである。図28の実施形態において、コンプレッサ364は、圧縮した空気を、各々の空気圧バルブ350の空気圧制御入口350aへの圧縮空気の付与を調整するソレノイド(つまり、電気的に制御された)バルブ365へ供給する。バルブ構成プロセッサ360は、ソレノイドバルブ365をコイルアンテナ20、22から離れた電気的連結を介して制御する。
図29は、図28の実施形態の変形例を示し、ここでは、バルブ350は、空気圧で制御されるのではなく、各々電気的に制御されている。図29において、各々の制御リンク362は、コントローラ360から対応する1つのバルブ350へ直接延びる電気配線であり、エアコンプレッサ364及び圧縮空気ソレノイドバルブ365は省略されている。
図27及び図28に戻って、各噴射口32は、リング338を通り放射状の円筒形通路366から形成されている。中空円筒形スリーブ368は、通路366内で受け止められ、スリーブ368の端部368aがガス噴射口を形成している。端部368aでの噴射口の径は、約0.030インチである。各スリーブ368は、セラミック材料で形成されてもよく、また取り外し可能であってもよい。各バルブ350の制御ガス出口ポート350cは、短いガス供給ライン370を介して対応する放射状通路366の外側端部に接続されている。ガス分配アセンブリの全体は、モジュール式になっており、外側ガス供給ライン358及び短いガス供給ライン370の各々の接続(又は分断)により素早く分解でき、スリーブ368は、別個に孔366から取り外し可能である。このように、リング338に支持されているガス分配部品及びアセンブリは、各々簡単に個別に交換でき、例えば、リング338のようなより高価なリアクタの部品の取り外しや交換を必要とすることがない。
図30A〜図30Dは、図27及び図28のリアクタで実施されたエッチング処理の定められた時間内に得られたマスク18上のエッチング深さ分布を、異なるバルブ構成ごとに示すグラフである。図30Aのエッチング分布は、すべてのバルブ350が開放されたときに得られたもので、概して中央部で低いエッチング分布であり、マスク表面全体に亘って0.51%という高い不均一性又はばらつきを示している。図30Bの分布は、一対の隣接したバルブ350a、350bを閉じると共に残りのバルブ350を開放したときに得られたもので、よりほぼ均一な分布であり、わずか0.38%の不均一性又はばらつきとなっている。図30Cは、すべてのバルブ350を開放した状態のバルブ構成に再び戻して得られた。図30Cの分布は、中央部でより低くなっている。図30Dの分布は、隣接した異なる一対のバルブ350c、350dを閉じることで得られた。得られた分布は、より均一であると共により中央部で低い傾向が抑えられ、わずか0.40%のばらつきとなった。
図31は他の実施形態を示し、ここでは、ガス噴射ノズル32がジグザグ又は「W」パターンにリング338内に配置されている。各ノズルは、上述の実施形態と同様、個別に制御されている。噴射パターンは、ノズルの上部列32aのみ又は下部列32bのみを作動させることにより、天井に対して移動させることが可能である。ノズル間の距離は、選択されたノズル32のみ(例えば、3つおきのノズル又は4つおきのノズル)を作動させることにより変更することができる。図32は、リング338の一部の断面図であり、ノズル32が異なる方向へ噴射するように配置される様子を表わしている。ガス分布の大きな変化は、バルブ構成コントローラ360によって、例えば、特定の方向を向いたノズル32のみをオンにすることにより得られることが可能である。例えば、図32の図面の右を向いた角度のノズル32cすべてをオンにし、他のすべてを除外することが可能である。例えば、すべての左に角度を付けられたノズル32dをオンにすると共に、すべての右に角度をつけられたノズル32cを含む他のすべてをオフにすることにより、大きな変化又は修正を得ることが可能である。
裏面エッチング深さ測定センサからのフィードバックを伴う調整可能なリアクタ要素の制御
図33及び図34に示すように、図25及び図26に示す裏面エッチング深さセンサの2次元アレイの出力を用い、マスクエッチングプラズマリアクタの調整可能要素のフィードバック制御が提供される。調整可能要素又は複数の要素は、個々に制御される図27及び図28のガス噴射ノズル32のアレイであることが可能である。これに代えて、あるいは、これに加えて、このようなフィードバックループ内で制御される調整可能要素は、図6及び図7のリアクタにおいて、内側及び外側コイル20、22間のRF電力配分、又は可動アルミニウム板112の高さを含むことが可能である。
図25及び図26のエッチング深さ検出素子130のアレイ又はマトリクスからのフィードバックによって、処理コントローラ60は、エッチング速度分布の均一性をリアクタの調整可能要素の連続的な試行錯誤調整により改善することができる。図33において、フィードバックループは、図25及び図26の裏面エッチング深さ検出器130のアレイ400で開始する。処理コントローラ60は、マスク18上のエッチング速度における不均一性の位置と大きさを推測し、そして、そのような不均一性を抑制するあるいは解消するリアクタの特定の調整可能要素において最も起こりそうな変化を推定するために、マスク18全体に亘り即時にエッチング深さ計測した画像を用いるようにプログラムされている。この情報は、プロセッサ60により、リアクタの調整可能要素のうちのいずれか1つ、又はいくつか、又はすべてに送られる命令(又は複数の命令)に変換される。このように、図33は、処理コントローラ60から以下に示す調整可能要素への出力信号経路を示しており、そのいずれか1つ又はすべては、リアクタ中に存在することが可能である。調整可能要素は:内側及び外側アンテナRF電力発生器24、26(内側及び外側RF電力配分用);可動アルミニウム板112用アクチュエータ118;制御可能ノズル32のアレイ用ノズルアレイコントローラ360である。
フィードバックループは、マスク18全体に亘るエッチング速度分布の均一性を改善するように全マスクエッチング処理を通じて連続的に動作するようにしてもよく、それによりマスク18上のエッチング速度分布の「画像」からプロセッサ60によって認識される不均一性を改善する。フィードバックは、試行錯誤補正を行なうプロセッサ60内のソフトウェアにより管理されることが可能である。これに代えて、プロセッサ60内のソフトウェアは、認識したエッチング速度分布の不均一性に対してプロセッサ60がより高い処理能力をもって反応できるように、市販の神経トレーニング−フィードバック学習技術を組み込むことが可能である。このようなソフトウェア技術は、本発明の一部を構成するものではない。
一実施形態において、調整可能要素(又は複数の要素)へのフィードバック命令は、エッチング深さセンサのアレイ中のばらつきを抑制するように生成されることが可能である。別の実施形態においては、フィードバックは、特定の不均一性に対処するために選択されることが可能である。例えば、センサ130のアレイにより検出されたエッチング速度分布は、マスク18の1つの象限又は角部において非常に高いことがあり、この場合、バルブ構成プロセッサは、限定された(試験的な)量だけその1つの象限内のガス流を減少するよう命令される。この方策が、裏面センサ130のアレイから得られた後続のエッチング速度分布の画像によれば、限定的な効果しか挙げない場合、このガス流分布の調整を増大させることが可能である。この調整と補正のサイクルは、エッチング速度分布均一性に改善が見られなくなるようになるまで継続することが可能である。
他の不均一性は、最初のものが補正された後、同様にして取り扱えばよい。例えば、異なる位置のエッチング速度が非常に高いことがあるが、その場合、その位置へのガス流は、それによりこの不均一性が、裏面センサ130のアレイからのエッチング速度分布「画像」の多数のサンプルに比べて一定の減少をみせる限り、減少させられる。
エッチング速度分布の不均一性が対称である場合(例えば、中央部で高い又は中央部で低い分布の場合)、アルミニウム板112の高さ、又は内側及び外側コイル20、22間のRF電力配分等、対称性を持つ調整可能要素が、プロセッサ60によりフィードバック制御ループを用いて不均一性を減少させるために用いられることが可能である。例えば、中央部で低いエッチング速度分布は、プロセッサ60によって、アルミニウム板112を上昇させ、及び/又は、内側コイル20への(外側コイル22に対する)RF電力の配分を増加させて、マスク18の中央部におけるエッチング速度を増加させることによって、不均一性が減少される。フィードバックループにおいて、この変化は、初期には小さくてもよく、裏面センサ130のアレイからのエッチング速度分布画像で均一性が改善されるにつれて、アルミニウム板及び/又は内側コイル20への電力の配分を更に増加させることが可能である。このサイクルは、更なる改善が観察されなくなるまで継続することが可能である。上記技術のすべては、処理コントローラ60により実行されるソフトウェア内に組み込まれることが可能である。
図35は、図33及び図34の実施形態における処理コントローラ60によって実行されるフィードバックサイクルの1つの可能な例を示している。まず、プロセッサ60は、裏面センサ130のアレイからエッチング速度の最新の2次元画像をマスク表面全面に亘って取得する(図35のブロック380)。この画像から、プロセッサ60は、エッチング速度分布における不均一性のパターンを推定し(ブロック382)、その不均一性を減少する選択肢のリストから、リアクタの調整可能要素のうち1つの調整を選択する(ブロック384)。この調整を行なった後(ブロック386)、プロセッサ60は、最新のエッチング速度分布画像を取得し(ブロック388)、それを調整前に獲得した先の画像と比較する。改善(不均一性の減少)がある場合、プロセッサ60は、同じサイクルを繰り返し、恐らく同じ効果の調整において更なる向上が得られる。改善がない場合(ブロック390でNOの場合)、選択された調整は、選択肢のリストから除外され(ブロック392)、ブロック384のステップに戻って異なる調整が選択される。
図36は、ワーク1102を処理するためのプラズマリアクタを示しており、ここでは、ワーク1102は、半導体ウェハであってもよく、リフトサーボ1105により(必要に応じて)上昇及び下降されるワーク支持土台1103上に保持される。リアクタは、チャンバ側壁1106及び天井1108により区切られたチャンバ1104からなる。天井1108は、小さなガス噴射口1110をその内面に有するガス分配シャワーヘッド1109を備え、シャワーヘッド1109は、処理ガス供給部1112から処理ガスを受け取る。これに加えて、処理ガスは、ガス噴射ノズル1113を介して導入されることが可能である。リアクタは、誘導結合プラズマRFプラズマソース電力アプリケータ1114及び容量結合RFプラズマソース電力アプリケータ1116の双方を備えている。誘導結合RFプラズマソース電力アプリケータ1114は、天井1108の上方に配される誘導アンテナ又はコイルであることが可能である。誘導結合をチャンバ1104内に導入するために、ガス分配シャワーヘッド1109は、セラミック等の誘電材料で形成されることが可能である。超短波容量結合ソース電力アプリケータ1116は、天井1108内又はワーク支持土台1103内に位置することができる電極である。また、他の実施形態においては、容量結合ソース電力アプリケータ1116は、天井1108内の電極及びワーク支持土台1103内の電極から構成されてもよく、これにより、RFソース電力は、天井1108及びワーク支持土台1103の双方から容量結合がなされる。(電極が天井1108内にある場合、それは、多数のスロット上部のコイルアンテナから誘導結合がチャンバ1104内に導入できるように、多数のスロットを有することが可能である。)RF電力発生器1118は、高周波(HF)電力(例えば、約10MHz〜27MHzの範囲)を、必要に応じて設けられるインピーダンス整合素子1120を介して誘導結合ソース電力アプリケータ1114へ供給する。RF電力発生器1122は、超短波(VHF)電力(例えば、約27MHz〜200MHzの範囲)を必要に応じて設けられるインピーダンス整合素子1124を介して容量結合ソース電力アプリケータ1116へ供給する。容量結合電力ソースアプリケータ1116のプラズマイオン生成効率は、VHF周波数が高いほど良く、その周波数領域は、好ましくは当該容量結合電力を生じるVHF領域内であればよい。図36中で象徴的に示されるように、両RF電力アプリケータ1114、1116からの電力は、ワーク支持土台1103上に形成されたチャンバ1104内のバルクプラズマ1126と接続されている。RFプラズマバイアス電力は、ワーク支持土台内であってウェハ1102の下にある(例えば)電極1130と接続されたRFバイアス電力源から、ワーク1102へと容量結合される。RFバイアス電力源は、低周波(LF)RF電力発生器1132及び他のRF電力発生器1134を備えることが可能であり、他のRF電力発生器1134は、中波(MF)又は高周波(HF)RF電力発生器のいずれであることが可能である。インピーダンス整合素子1136は、バイアス電力発生器1132、1134とワーク支持電極1130との間に接続される。真空ポンプ1160は、チャンバ1104から、排気速度を規制するために用いられるバルブ1162を介して処理ガスを排気する。バルブ1162を通る排気速度とガス分配シャワーヘッド1109を通る流入ガス流量が、チャンバ圧力と処理ガスのチャンバ内での滞留時間を決定する。
プラズマイオン密度は、誘導結合電力アプリケータ1114又はVHF容量結合電力アプリケータ1116のいずれかによって加えられる電力が増大されるにつれて増加する。しかしながら、それらは異なる挙動を見せる、つまり、誘導結合電力は、よりバルクプラズマ内のイオンやラジカルの解離を促進し、中央部で低い放射状のイオン密度分布を促進する。これに対して、VHF容量結合電力は、解離の減少を促進し、中央部で高い放射状のイオン分布を促進すると共に、更にそのVHF周波数が高くなるにつれてよりイオン密度を大きくする。
誘導結合及び容量結合電力アプリケータは、処理上の要求に従い、個別にあるいは組み合わせて用いられることが可能である。一般に、組み合わせて用いられる際には、誘導結合RF電力アプリケータ1114及び容量結合VHF電力アプリケータ1116は、プラズマに対して電力を結合するのに対して、LF及びHFバイアス電力発生器は、同時にバイアス電力をウェハ支持電極1130に供給する。後述するように、これらのソースの同時動作により、プラズマイオン密度、プラズマイオン放射状分布(均一性)、プラズマの解離又は化学種含有量、シースイオンエネルギ、及びイオンエネルギ分布(幅)等、最も重要なプラズマ処理パラメータの独立した調整が可能となる。この目的のために、ソース電力コントローラ1140は、本明細書中に後述するように、ソース電力発生器1118、1122を互いに独立して(例えば、それらの電力比率を制御するよう)管理し、バルクプラズマのイオン密度、プラズマイオン密度の放射状分布、及びプラズマ中のラジカルやイオンの解離を制御する。コントローラ1140は、RF発生器1118、1122の各々の出力電力レベルを独立して制御することができる。これに加えて、あるいは、これに代えて、コントローラ1140は、いずれか一方又は両方のRF発生器1118、1122のRF出力を脈動することが可能であり、そして、各々のデューティサイクルを制御することが可能であり、又はVHF発生器1122の、そして、必要に応じHF発生器1118の、周波数を制御することが可能である。これに加えて、バイアス電力コントローラ1142は、後述するように、イオンエネルギ準位とイオンエネルギ分布の幅との両方を制御するために、バイアス電力発生器1132、1134の各々の出力電力レベルを独立して制御する。コントローラ1140、1142は、本発明の種々の方法を実行する。
図37に示す本発明の第1の方法によれば、プラズマイオン密度、プラズマイオン密度均一性、シースイオンエネルギ及びイオンエネルギ分布(幅)が各々独立して制御される。図37の方法は、処理ガスを、好ましくは天井ガス分配シャワーヘッド1109を介して導入する(図37のブロック1202)。この方法は、VHFソース電力をバルクプラズマと容量結合する(ブロック1204)と共にRFソース電力をバルクプラズマと誘導結合することにより継続する(ブロック1206)。ユーザは、特定のステップに従い、一定のプラズマイオン密度を確定する。これは、VHF容量結合ソース電力と誘導結合ソース電力との合計を、その処理ステップが実行されるように、所望のプラズマイオン密度が得られるレベルに維持することにより達成される(ブロック1208)。同時に、ウェハ表面でのプラズマイオン密度の放射状分布は、所望のプラズマイオン密度を維持しながら(例えば、可能な限り均一なるように)調整される。これは、VHF容量結合電力の量と誘導結合電力の量との比率を調整することにより達成される(ブロック1210)。これにより、誘導結合電力により促進される中央部で低い分布と、VHF容量結合電力により促進される中央部で高い分布との間に放射状イオン分布を配分する。本明細書中に後述するように、これは、HF及びVHF発生器1118、1122により供給される電力間の比率のみを変化させながら合計RF電力をほぼ一定に維持することにより、イオン密度を乱すことなく達成される。
ステップ1210の調整は、以下のステップのいずれか1つ(又はその組合せ)により行なうことができる。第1の種類の調整は、誘導及び容量結合電力ソース1118、1122のRF発生器の電力レベルを調整する(図37のブロック1210a)ことからなる。他の種類は、誘導及び容量結合RF電力発生器1118、1122のうち少なくとも一方又は両方を脈動させ、一方のデューティサイクルを他方に対して調整する(図37のブロック1210b)ことからなる。第3の種類は、容量結合電力VHF発生器1122の有効周波数を調整する(図37のブロック1210c)ことからなり、VHF周波数が上げられるにつれてプラズマイオン密度は増大する。容量結合プラズマソース電力の有効VHF周波数の調整は、好ましい一実施形態において、固定されるが異なるVHF周波数(即ち、発生器1122aによる高VHF周波数f1出力及び発生器1122bによる低VHF周波数f2出力)の2つのVHF発生器1122a、1122bを設けることにより達成されてもよく、その組み合わされた出力は、(インピーダンス整合1124a、1124bを介して)容量電力アプリケータに加えられる。高及び低周波数f1、f2により規定される範囲内の有効VHF周波数feffの変更は、2つの発生器1122a、1122bの出力電力レベルa1、a2間の比率を変化させることにより行なわれる。有効周波数feffは、2つのVHF発生器1122a、1122b各々の周波数f1、f2、及び各々の調整可能な出力電力レベルa1及びa2の関数として、以下の式により一次近似されることが可能である:feff=(a+f)/(a+a)。上記の例では、2つのVHF発生器を設けているが、必要であればより多数を用いることも可能である。
VHF容量ソースは、プラズマ中に高RF電圧を生じることなくプラズマ密度を効率よく生成することができ、これは容量結合プラズマ(ICP)ソースと同様である。これに対して、LF及びHFバイアスソースは、プラズマ中に高RF電圧を効率よく生成するが、プラズマ密度には殆ど貢献しない。それ故、VHFソース(又は複数のVHFソース)とICPソースとの組合せにより、プラズマ中に大きなRF電圧を生じるという副作用を生じることなくプラズマを発生することができる。その結果として、ウェハ土台に加えられるHFソースのLFによって発生するRF電圧は、プラズマ密度発生ソースから独立して駆動される。VHFソースは、ICPソースから独立して駆動することができ、プラズマ密度をICPと組み合わせて生成する能力を持つ(これに対して、従来のICPソースは、ウェハ上のRF電圧を生成するためだけにウェハ土台に接続されたHF又はLF容量結合電力ソースを用いている)。
更に、この方法は、独立して調整可能なLFバイアス電力源とHFバイアス電力源とをワークに結合することを含む(ブロック1212)。コントローラ1142は、ワーク表面のイオンエネルギ準位及びイオンエネルギ分布(幅及びスペクトラム)を2つのRFバイアス電力発生器1132、1134の同時調整により調整する(ブロック1214)。このステップは、以下のいずれか1つにより行なわれる:1つの方法は、HF及びLFバイアス電力ソース1132、1134の電力レベルの比率を調整することである(図37のブロック1214a)。他の方法(より現実的ではないが)は、LF及びHFバイアス電力ソースの周波数を調整又は選択することである(図37のブロック1214b)。第1実施形態においては、LF及びHF周波数は、ESC電極1130に加えられ、一方VHFソース電力は、ガス分配シャワーヘッド1110(この場合シャワーヘッド1110は、CCPアプリケータ1116である)に加えられ、また、ICPアプリケータ1114は、シャワーヘッド1110の上方に位置している。第2実施形態においては、VHFソース電力は、ESC電極1130にHF及びLFバイアス周波数と共に加えられ、一方、ICP電力アプリケータ1114は、シャワーヘッド1110の上方に配置される。
上記の方法が、多層構造の異なる材料からなる連続層をエッチングするためのエッチング処理に用いられる場合、各層をエッチングするプラズマ処理は、全く異なる処理となるように個別に構成されることが可能である。例えば、1つの層は、高度に解離したイオン及びラジカル種を用いてエッチングされてもよく、一方、もう1つの層は、それ以外の層よりも高密度のプラズマ中でエッチングされることが可能である。更に、チャンバ圧力が各ステップ間で変化させられる場合には、その変化の放射イオン密度分布に対する効果は、均一な分布を維持するために補償されることが可能である。これらすべては、多層構造の連続層を露出させていく際には、上記調整ステップを繰り返すことにより達成される(ブロック1216)。
ブロック1210のステップにおいて得られるプラズマイオン放射分布の優れた均一性によって、ウェハ上方に大きなチャンバ容積を設ける必要がなくなる。よって、ウェハとプラズマソースとの間の距離を、均一性を犠牲にすることなく減少することができる。これは、リアクタを作成する際になされてもよく、又は(好ましくは)ウェハ支持台1103が、天井−ウェハ間距離を変更するよう天井1108に対して上昇又は下降可能であってもよい。このようにしてチャンバ容積を減少させることにより、処理ガス滞留時間を減少させることができ、解離及びプラズマ種含有量に対して独立した制御を行なうことができる。また、天井−ウェハ間距離を減少させることにより、ガス分配シャワーヘッド1109のガス分配効果は、マスクされる前に拡散によりウェハ表面に達することになり、これは大きな効果である。よって、上記方法の他のステップは、(a)滞留時間を制限するために、又は(b)シャワーヘッドのガス分配パターンが拡散効果によりウェハ表面でマスクされることを防ぐために、のいずれかのために、天井−ウェハ間距離を制限することからなる(図37のブロック1218)。利点の1つは、これにより、誘導結合ソースの、中央部で低いイオン分布特性を補償するための大きな天井−ウェハ間距離を必要とすることなく、誘導結合を用いることができるようになるという点である。実際、天井−ウェハ間距離は、上方ガス分配シャワーヘッドがウェハ表面の処理均一性に影響を与える又はそれを改善するのに充分に小さな距離とすることができる。
プラズマの化学種含有量は、図37のブロック1220のステップにおいて、プラズマ内の解離の程度を調整することにより、上記調整から独立して(例えば、ブロック1210のステップの放射状イオン密度分布の調整から独立して)調整又は規制されることが可能である。このステップは、チャンバ内の処理ガス滞留時間を変化させるために、例えば、バルブ1162を制御することでチャンバ1104が真空ポンプ1160により排気される(図37のブロック1220a)速度を調整することにより行なわれることが可能である。(解離は、滞留時間の増大及びチャンバ容積の増大に伴って増える。)これに代えて(あるいは、これに加えて)、解離の調整は、天井−ウェハ間距離をチャンバ内の処理ガス滞留時間を変更するように調整することによって行なわれることが可能である(図37のブロック1220b)。これは、図36のワーク支持土台1103を上昇又は下降させることによりなされることが可能である。上記のプラズマ中の解離を調整するための方策は、ブロック1210のステップにおいてイオン分布又は均一性を調整するために確定された誘導及び容量結合の比率に対して大きな影響を与えない。こうして、ステップ1210のプラズマイオン密度分布の調整から実質的に独立してステップ1220の解離又は化学種含有量の調整が行なわれる。
また、他の実施形態においては、容量結合ソース電力アプリケータ1116は、天井1108及びワーク支持土台1103両方の中の電極から構成されてもよく、VHF電力は、天井1108及びワーク支持土台1103両方の中の電極を介して同時に加えられる。この特徴の利点は、天井のVHF電圧(又は電流)の位相は、ワーク支持対での位相と異なってもよく、またこの位相差を変更することにより、チャンバ1104内のプラズマイオン密度の放射状分布が変化することにある。よって、プラズマイオン密度の放射状分布を調整するための追加的なステップは、ワーク支持土台1103でのVHF電圧(又は電流)と天井1108でのVHF電圧(又は電流)との間の位相差を調整することである。これは、図37のブロック1230に示されている。この調整は、ブロック1210のステップ中に容量結合と誘導結合の比率を変更することを必要としてもよく、あるいは必要としなくてもよい。
図38A、図38B、及び図38Cは、中央部で低い又は「M」字型の誘導結合プラズマイオン密度分布(図38A)と中央部で高い容量結合プラズマイオン密度分布(図38B)の組合せから、どのようにして図38A及び図38Bの分布を重ね合わせたものに対応するよりも理想的又はよりほぼ均一なプラズマイオン密度分布(図38C)が得られたかを示している。図38Cの理想的な分布は、図36の誘導結合及び容量結合の2つのソース1118、1122の量を注意深く調整することにより得られる。容量結合電力が高い比率のときは、より中央部で高い分布が得られる一方、誘導結合電力が高い比率のときは、より中央部で低い分布が得られる。異なる比率は、異なるチャンバ圧力で理想的な分布が得られるという結果をもたらす。誘導及び容量結合を配分する方法の1つは、2つの発生器1118、1122のRF電力の量を配分することである。図39は、発生器1118、1122の出力電力レベルの比率が、どのように放射状イオン分布に影響するかを示している。図39の曲線中の最小点又は窪みは、イオン分布の不均一性又はずれが最小となる理想的電力比率に対応する。誘導及び容量結合電力を配分する方法の他の1つは、2つの発生器1118、1122のうち少なくとも一方(又は両方)を脈動させ、パルスのデューティサイクルを制御することである。例えば、それらのうち一方(誘導ソース1118)を脈動させると共に他方(容量ソース1122)を連続させてもよく、又は、容量結合ソース1122のデューティサイクルを調整することにより、双方のバランスを取ってもよい。これに代えて、両方とも脈動させてもよく、2つのソースのデューティサイクルの比率を制御することにより配分がなされる。その結果を図40に示しており、誘導結合−容量結合デューティサイクル比率が高いとき、誘導結合電力は、より大きくプラズマに達し、より中央部で低い分布をなり、容量結合−誘導結合デューティサイクル比率が高いとき、プラズマ中の容量結合電力はより大きく、より中央部で高い分布となる。
上記のイオン密度分布に対する調整は、プラズマイオン密度を変えることなく行なうことができる。図41は、これが図39の実施形態においてどのように達成されるかを示し、ここでは、均一性の調整がRF発生器出力電力を調整することにより行なわれる。図41は、誘導結合電力(縦軸)と容量結合電力(横軸)の異なる組合せに対する一定イオン密度の直線を示している。発生器1118、1122からの各々の誘導及び容量結合電力の値は、一定密度の直線のうちの特定の1つに沿って存在するように制限されていると仮定すると、誘導−容量電力比率は、プラズマイオン密度を変更することなく所望の任意の値(均一性を制御するための)に設定することが可能である。一定密度の直線は、従来の試験で任意のリアクタにより推定される。図42は、これが図40の実施形態においてどのように達成されるかを示し、ここでは、均一性の調整は、RF発生器のパルス状デューティサイクルを調整することにより行なわれる。図42は、誘導結合デューティサイクル(縦軸)と容量結合デューティサイクル(横軸)の異なる組合せに対する一定イオン密度の直線を示している。発生器1118、1122からの各々の誘導及び容量結合デューティサイクルの値は、一定密度の直線のうち特定の1つに沿って存在するよう制限されていると仮定すると、誘導−容量電力比率は、プラズマイオン密度を変更することなく所望の任意の値(均一性を制御するための)に設定することが可能である。一定密度の直線は、従来の試験で任意のリアクタにより推定される。
図43は、図37のブロック1210cのステップにおける、VHF容量結合電力ソース1122のイオン密度に対する周波数の選択の効果を示すグラフである。図43は、イオン密度(つまり、電力結合)は、周波数を高めるにつれて(例えば、27MHzから60MHzへ、そして、200MHzへ)印加ソース電力に伴いより大きな比率で増加することを示している。そのために、プラズマイオン密度及び容量及び誘導結合電力間のバランスに影響を与える方法の1つは、容量結合ソースRF発生器1122のVHF周波数を選択又は制御することである。
図44は、図37の方法の変形例を示し、ここでは、所望のプラズマイオン密度が維持されると共に、上述の誘導−容量結合比率が、所望のプラズマの解離又は化学種含有量を得るために採用されている。図44の方法は、処理ガスを、好ましくは天井ガス分配シャワーヘッド1109を介して、導入する(図44のブロック1302)。この方法は、RFソース電力をバルクプラズマと容量結合する(ブロック1304)と共にRFソース電力をバルクプラズマと誘導結合することにより継続する(ブロック1306)。ユーザは、特定のステップに従い、一定のプラズマイオン密度を確定する。これは、容量結合電力と誘導結合電力との合計を、その処理ステップが実行されるように、所望のプラズマイオン密度が得られるレベルに維持することにより達成される(ブロック1308)。同時に、所望のプラズマイオン密度を維持しながら、バルクプラズマ中の解離の程度が(例えば、或る処理の要求条件を満たすように)決定される。これは、VHF容量結合電力の量と誘導結合電力の量との比率を調整することにより達成される(ブロック1310)。これにより、非常に高レベル特性の誘導結合プラズマと低レベル特性のVHF容量結合プラズマ間の解離(バルクプラズマ中の電子運動エネルギ)が固定される。このような配分は、図41及び(又は)図42を参照し、上述の方法に従って、HF及びVHF発生器1118、1122により供給される電力間の比率のみを変化させながら合計RF電力をほぼ一定に維持することにより、イオン密度を乱すことなく達成することができる。ステップ1310の調整は、以下のステップのいずれか1つ(又はその組合せ)により行なうことができる。第1の種類の調整は、誘導及び容量結合電力ソース1118、1122のRF発生器の電力レベルを調整することからなる(図44のブロック1310a)。他の種類は、誘導及び容量結合RF電力発生器1118、1122のうち少なくとも一方又は両方を脈動させ、一方のデューティサイクルを他方に対して調整することからなる(図44のブロック1310b)。第3の種類は、容量結合電力VHF発生器1122の有効周波数を調整することからなり(図44のブロック1310c)、VHF周波数が上げられるにつれてプラズマイオン密度は増大する。有効VHF周波数の変更は、一対の各々周波数を持つ固定周波数VHF発生器1122a、1122bを設け、それらの出力電力レベルを調整することにより行なうことができる。
更に、この方法は、独立して調整可能なLFバイアス電力源とHFバイアス電力源とをワークに結合することを含む(ブロック1312)。コントローラ1142は、ワーク表面のイオンエネルギ準位及びイオンエネルギ分布(幅及びスペクトラム)を2つのRFバイアス電力発生器1132、1134の同時調整により調整する(ブロック1314)。このステップは、以下のいずれか1つにより行なわれる:1つの方法は、HF及びLFバイアス電力ソース1132、1134の電力レベルの比率を調整することである(図44のブロック1314a)。他の方法は、LF及びHFバイアス電力ソースの周波数を調整又は選択することである(図44のブロック1314b)。
この方法は、プラズマエッチング処理、プラズマ化学気相蒸着処理(PECVD)、物理気相蒸着処理及びマスク処理に有効である。上記の方法が、多層構造の異なる材料からなる連続層をエッチングするためのエッチング処理に用いられる場合、各層をエッチングするプラズマ処理は、全く異なる処理となるよう個別に構成されることが可能である。例えば、1つの層は、高度に解離したイオン及びラジカル種を用いてエッチングされてもよく、一方、もう1つの層は、それ以外の層よりも高密度のプラズマ中でエッチングされることが可能である。更に、チャンバ圧力が各ステップ間で変化させられる場合には、その変化の放射イオン密度分布に対する効果は、均一な分布を維持するために補償されることが可能である。これらすべては、多層構造の連続層を露出させていく際には、上記調整ステップを繰り返すことにより達成される(ブロック316)。
誘導結合ソース電力とVHF容量結合ソース電力との組合せにより得られるプラズマイオン放射分布の優れた均一性によって、大きな天井−ウェハ間距離を設ける必要がなくなる。よって、天井−ウェハ間距離を、均一性を犠牲にすることなく減少することができる。これは、リアクタを作成する際になされてもよく、又は(好ましくは)ウェハ支持台1103が、天井−ウェハ間距離を変更するように天井1108に対して上昇又は下降可能であってもよい。このようにしてチャンバ容積を減少させることにより、処理ガス滞留時間を減少させることができ、解離及びプラズマ種含有量に対して独立した制御を行なうことができる。また、天井−ウェハ間距離を減少させることにより、ガス分配シャワーヘッド1109のガス分配効果は、マスクされる前に拡散によりウェハ表面に達することになり、これは大きな効果である。よって、上記方法の他のステップは、(a)滞留時間を制限するために、又は(b)シャワーヘッドのガス分配パターンが拡散効果によりウェハ表面でマスクされることを防ぐために、のいずれかのために、天井−ウェハ間距離を制限することからなる(図44のブロック1318)。
プラズマの化学種含有量は、図44のブロック1320のステップにおいて、チャンバ内の処理ガス滞留時間を調整することにより、上記調整から独立して調整又は規制されることが可能である。このステップは、チャンバ内の処理ガス滞留時間を変化させるために、例えば、バルブ1162を調整することでチャンバ1104が真空ポンプ1160により排気される速度を調整することにより行なわれることが可能である(図44のブロック1320a)。(解離は、滞留時間の増大に伴って増える。)これに代えて(あるいは、これに加えて)、解離の調整は、天井−ウェハ間距離をチャンバ内の処理ガス滞留時間を変更するように調整することによって行なわれることが可能である(図44のブロック1320b)。これは、図36の非処理物支持台1102を上昇又は下降させることによりなされることが可能である。上記のプラズマ中の解離を調整するための方策は、ブロック1310のステップにおいて確定された誘導及び容量結合の比率に対して大きな影響を与えない。こうして、ステップ1210のプラズマイオン密度分布の調整から実質的に独立して(又は、それに加えて)ステップ1320の解離又は化学種含有量の調整が行なわれる。
また、他の実施形態においては、容量結合ソース電力アプリケータ1116は、天井1108及びワーク支持土台1103両方の中の電極から構成されてもよく、VHF電力は、天井1108及びワーク支持土台1103両方の中の電極を介して同時に加えられる。この特徴の利点は、天井のVHF電圧(又は電流)の位相は、非処理物保持対での位相と異なってもよく、またこの位相差を変更することにより、チャンバ1104内のプラズマイオン密度の放射状分布が変化することにある。よって、プラズマイオン密度の放射状分布は、ワーク支持土台1103でのVHF電圧(又は電流)と天井1108でのVHF電圧(又は電流)間の位相差を調整することによって、解離から独立して(つまり、ブロック1310のステップにおいて選択された容量−誘導結合比率を変えることなく)調整されることが可能である。これは、図44のブロック1330に示されている。
図45は、ブロック1308のステップにおいて、誘導及び容量結合の比率割当がどのようにバルクプラズマ中の解離を制御するかを示すグラフである。解離は、バルクプラズマ中の電子エネルギの増大により促進され、図45は、4つの異なる動作方式での電子エネルギ分布の関数を示している。
符号1410で示された曲線は、HFバイアス電力のみがウェハに加えられ、ソース電力が加えられない場合の電子エネルギ分布の関数を表わしている。この場合、電子状態は、典型的解離反応(曲線1420により示される)の断面が測定可能な大きさとなるエネルギよりもはるかに低い低エネルギスペクトル内に限定される。そのために、解離の発生は、(もしあったとしても)より少なくなる。
符号1430で示された曲線は、VHF電力が容量結合ソース電力アプリケータ1116に加えられ、電力が他のアプリケータには加えられない場合の電子エネルギ分布の関数を表わしている。この場合、電子状態は、衝突断面積1420に一致する小さな成分を有し、そのために、少量の解離が発生する。
符号1440で示された曲線は、HF電力が誘導結合ソース電力アプリケータ1114に加えられ、電力が他のアプリケータには加えられない場合の電子エネルギ分布の関数を表わしている。この場合、電子状態は、衝突断面積1420の高い値に一致する成分を有し、そのために、非常に高度の解離がバルクプラズマ中に発生する。
符号1450で示された曲線は、RF電力が容量及び誘導結合アプリケータ1116、1114間に配分されている場合の電子エネルギ分布の関数を表わしている。この場合、得られる電子エネルギ分布の関数は、2つの関数1430、1440の組み合わされたものとなり、それらの間に存在し、その結果、バルクプラズマ中に、より少ない量のイオン解離が発生する。組合せの場合を表わす曲線1450は、衝突断面積1420が相当な大きさを有するエネルギ以上で、幾分小さい電子状態を有し、より少ない量の解離をもたらす。組合せの場合の曲線1450は、容量及び誘導結合電力量間の比率を変化させることにより、より大きな又はより小さなエネルギ準位に移動させることができる。これは、図46のグラフに示されており、ここでは、各実線の曲線は、特定の電力レベルでの純粋な誘導結合電力についての電子エネルギ分布の関数に対応している。実線の曲線から延びる破線の曲線は、より大きな電力が誘導結合から迂回させられ、容量結合へ加えられる際のこれらの曲線の変形例を示している。本質的に、これは電子状態を低エネルギ準位へと移動させ、それにより解離を減少させる。
図47は、プラズマの化学物質含有量に対する異なるレベルの解離の効果を示している。縦軸は、光学発光スペクトラム強度を表わし、横軸は、波長を表わしている。異なるピークは、或るラジカル又はイオンの存在に対応し、ピークの大きさは、特定の種のプラズマ中の状態又は出現率に対応する。実線の曲線は、低程度の解離(容量結合が優勢)に対応し、その場合、より大きな分子種がより多く存在する。破線の曲線は、高程度の解離(誘導結合が優勢)に対応し、その場合、より小さな(より反応度の高い)化学種がより多く(親分子によっては)存在する。図47に示す例においては、優勢な容量結合方式において高い出現率を持つ高分子量の種は、CFであり、一方、優勢な誘導結合方式において高い出現率を持つ低分子量の種は、自由炭素Cである。いくつかの場合、C(自由炭素)の存在は、自由フッ素のような非常に軽く反応性の高い種の存在を示す指標であり、それは、高エッチング速度が望まれる場合には望ましい。CFのようなより大きな種の存在は、より少ない解離と、より反応性の高い種の不在を示す指標であり、それは、例えば、高エッチング選択性を要求するプラズマエッチング処理においては望ましい。
図48は、図44のブロック1310aのステップを行なう方法の一例を示すグラフである。図48の縦軸は、バルクプラズマ中の解離度に対応しており、図47中の自由炭素のような解離度の高い種の光学発光スペクトル強度を表わすことが可能である。横軸は、誘導結合プラズマ(ICP)電力の容量結合プラズマ(CCP)電力(図36のICP及びCCP発生器1118、1122の電力レベル)の比率である。図48は、解離はこの比率の増加関数であることを示しているが、それは、図48中に示された単純一次関数でなくともよい。
図49は、図44のブロック1310bのステップを行なう方法の一例を示すグラフである。図49の縦軸は、バルクプラズマ中の解離度に対応しており、図47中の自由炭素のような解離度の高い種の光学発光スペクトル強度を表わすことが可能である。横軸は、誘導結合プラズマ(ICP)パルス状デューティサイクルの容量結合プラズマ(CCP)パルス状デューティサイクル(図36のICP及びCCP発生器1118、1122のパルス状デューティサイクル)の比率である。図49は、解離がこの比率の増加関数であることを示しているが、それは、図49中に示された単純一次関数でなくともよい。CCP発生器1122は、脈動していなくてもよく、その場合、そのデューティサイクルは100%であり、一方、ICPデューティサイクルのみが制御を実行するために変化させられる。図50A及び図50Bは、パルスICP発生器出力とパルスCCP発生器出力の同時波形の可能な一例を示している。この例示においては、CCP発生器1122は、ICP発生器1118より高いデューティサイクルを有し、その結果、プラズマは、低解離度等、より容量結合プラズマの特性を示し易くなる。容量及び誘導結合電力ソースのデューティサイクル間の比率は、プラズマ中の誘導及び容量結合電力間の比率に、以下のような影響を与える。まず、誘導結合電力ソースのデューティサイクルが短いほど、RF誘導電力のパルスバースト間のアイドル時間が長くなる。アイドル時間中、バルクプラズマ内の最も高いエネルギを持つ電子は、そのエネルギを他のよりエネルギが低い電子よりも早く解放し、その結果、電子エネルギ分布の関数(図45)は、エネルギ内下方へ(つまり、図45の左側へ向かって)シフトする。これにより、エッチングのアイドル時間中、より容量結合に近い(つまり、より解離の少ない)プラズマが生成される。この効果は、デューティサイクルが減少するにつれて増大し、その結果、プラズマは(多数のサイクルの平均として)高エネルギ電子が減少し、解離も低下する。このアイドル時間中、高エネルギ電子の分布は低下し、そして(これに加えて)高エネルギ電子の空間分布は、拡散により広がる好機を得て、その結果、処理の均一性が誘導結合電力デューティサイクルの減少に応じた程度向上する。
図51は、図44のブロック1310cのステップを行なう方法の一例を示すグラフである。図51の縦軸は、バルクプラズマ中の解離度に対応しており、図47中の自由炭素のような解離度の高い種の光学発光スペクトル強度を表わすことが可能である。横軸は、図36の容量結合プラズマ(CCP)発生器1122の周波数である。図51は、先に示した例のように、CCPとICP電力の両方が同時に加えられる場合に対応し、CCP電力発生器1122の周波数は上げられる。固定レベルのICP電力及び固定レベルのCCP電力に対して、有効VHF周波数の上昇は、図51に示されるように、プラズマ解離を増大させる。解離挙動は、図51に示されるような単純一次関数でなくともよい。
図52A、図52B、及び図52Cは、図37のブロック1214のステップ(図44のブロック1314のステップと対応する又は同じである)がどのように行なわれるかを例示している。図52A、図52B、及び図52Cの各グラフは、イオンエネルギの関数としてのプラズマシース(ワーク表面の)のイオン数、又はシースイオンエネルギ分布を示している。
図52Aは、ウェハに加えられるバイアス電力のみが低周波数(例えば、1MHz)バイアス電圧又は電流である場合のイオンエネルギ分布を示している。(図36において、これはLFバイアス電力発生器1132のみがバイアス電力を加える場合に対応する。)この周波数は、実質的にシースイオン遷移周波数より低く、シースイオンがシース電界の発振に追随できる最も高い周波数である。そのために、図52Aの例のシースイオンは、バイアス電力により与えられるシース電界のピーク−ピーク発振に追随できる。これにより、RFバイアス電力のピーク−ピーク電圧に一致するピークイオンエネルギを生じる(図52A中のeVp−pで示す)。イオンエネルギ分布は、2モードであり、図52Aのグラフに示すように、より低いエネルギで第2のピークを有する。これら2つのピーク間のイオン分布は、比較的低い。
図52Bは、バイアス電力が高周波(HF)成分(例えば、13.56MHz)からなる場合のイオンエネルギ分布を示している。(図36において、これはHFバイアス電力発生器1134のみがバイアス電力を加える場合に対応する。)この周波数は、シースイオン遷移周波数より充分に高く、そのために、シースイオンはピーク−ピークシース電界発振に追従することができない。結果として、図52Bのイオンエネルギ分布は、シースのピーク−ピーク電圧の半分を中心とする狭いエネルギバンドに限定される。図52A及び図52Bのイオンエネルギ分布は、幾分互いに相補的であると見ることができ、一方の分布(図52B)は中間周波数帯で大きく、他方(図52A)は、2つの極値にピークがあり、中間周波数で幾分少ない広い分布を有する。
図52Cは、LF及びHFバイアス電力を同時に加えることによって(図36の両方のバイアス電力発生器1132、1134をオンにすることにより)実現されるイオンエネルギ分布の例を示している。この結果、実質的に、図52A及び図52Bの2つの極端な分布を重ね合わせたイオンエネルギ分布が得られる。それ故、図52Cの「組合せ」イオンエネルギ分布は、LF及びHFバイアス電力の相対量を調整することにより調整できる。これは、LF及びHFバイアス電力発生器1132、1134の電力レベルを配分すること(図37のステップ1214a)と、それらの一方又は両方を脈動させ、それらのデューティサイクルを配分すること(図37のステップ1214b)とのいずれか(又は両方)により達成される。これに代えて、又は追加のステップとして、HF又はLFバイアス電力のいずれかの周波数を変更することが可能である。例えば、LFバイアス電力周波数は、シースイオン遷移周波数に近い値まで上げてもよく、それは、図52Cにおける最大エネルギ(eVp−p)付近のイオンエネルギ分布状態を減少させる(それにより、図52Cの点線の曲線で示されるように、イオンエネルギ分布を狭くする)。他の例として、HFバイアス電力周波数は、シースイオン遷移周波数に近い値まで下げてもよく、それは、図52Cにおける中間エネルギの分布ピークを減少させる(それにより、図52Cの点線の曲線で示されるように、中間周波数のイオンエネルギ分布を広くする)。
図53は、典型的な電界効果トランジスタ(FET)の典型的なゲートの多層薄膜構造を示している。これらの層は、半導体基板1604上方の高誘電率二酸化シリコン層1602、酸化層1602上の多結晶シリコン導電層1606、導電層1606上のケイ化チタン層1608、ケイ化物層1608上のハードマスク層1610、ハードマスク層1610上層の反射防止(AR)コーティング1612、及びARコーティング1612上のフォトレジスト層1614を含む。このような構造をエッチングするプラズマエッチング処理において、異なる材料の各層1602〜1614は、異なるエッチング処理で最適にエッチングされる。いくつかの層(例えば、フォトレジスト層1614及び多結晶シリコン導電層1606は、容量結合されているよりも誘導結合されているプラズマ中で最適にエッチングされるのに対して、他の層(例えば、ハードマスク層1610)は、誘導結合されているよりも容量結合されているプラズマ中で最適にエッチングされる。図37又は図44の方法を用いて、異なる層の各々は、その特定の層に対して最適な種類のプラズマ処理条件で、ソース電力結合の種類を含む処理条件を変化させることにより(例えば、誘導及び容量結合ソース電力間の比率を変化させることにより)処理され(例えば、エッチングされ)てもよい。こうして、エッチング処理において、各々の層1602〜1614が順に露出される際に、図36及び図44を参照して説明した調整を繰り返し、処理パラメータを変更して各層の処理を個別に構成する。これが、図37及び図44のブロック1216及び1316のステップのゴールである。この変化を付ける際には、他の処理パラメータを変化させることが可能である。例えば、多結晶シリコン層1606をエッチングするために用いられる種類の誘導結合主体のプラズマは、低チャンバ圧力(例えば、数ミリTorr)で適切に維持される一方、容量結合主体のプラズマは、高チャンバ圧力(例えば、数十ミリTorr)で適切に維持される。ほぼ同量の誘導及び容量結合電力を有するプラズマは、容量結合プラズマの高チャンバ圧力と誘導結合プラズマの低チャンバ圧力との中間のチャンバ圧力で稼働させることが可能である。そのうえ、異なるバイアス電力レベル及びイオンエネルギ分布が、各々異なる層1602〜1614をエッチングするのに用いられてもよく、その調整をするために図36又は図44のブロック1214又は1314のステップを用いることも可能である。
効果
VHF容量結合電力と誘導結合電力とのプラズマへの同時印加により、ユーザは、プラズマイオン密度及び、プラズマ均一性又は解離(又はプラズマの化学種含有量)のいずれかを独立して制御することができる。従来のリアクタは、長い天井−ウェハ間距離を用いて天井から電力を加えることにより誘導結合プラズマの中央部で低いイオン密度分布を補償し、その結果、拡散効果がウェハで均一なプラズマイオン分布を作り出す。しかしながら、このように大きな天井−ウェハ間距離は、上方ガス分配シャワーヘッドのウェハ表面での好ましい効果を損ない、その結果、誘導結合リアクタにおいて上方ガス分配シャワーヘッドの利点が実現されない。もう1つの問題は、大きな天井−ウェハ空間は、チャンバ容積を非常に大きくし、その結果、処理ガス滞留時間がそれに応じて長くなり(極端な高容量真空ポンプでチャンバを排気しない限り)、バルクプラズマ中の解離を、最小レベルを下回って制御することが困難となるということである。これは、マイクロローディング効果やエッチング選択性の欠如といったエッチング処理の問題を抑制又は解決することをより困難にしていた。これらの問題は、本発明においてすべて解決される。ウェハ表面での処理均一性を改善するために、誘導結合リアクタ中に上方ガスシャワーヘッドを用いることができないという表面上の問題は、理想的な量の容量結合電力を、イオン生成領域内のイオン分布を均一にするために導入することにより解決される。これにより、天井−ウェハ間空間は、上方ガス分配シャワーヘッドがウェハ表面で処理均一性を制御できる程度まで大きく削減される。減少した天井−ウェハ間距離により容易になった、より小さなチャンバ容積内のガス滞留時間の減少によって、プラズマ中の解離を減少させることで、エッチング選択性は改善され、また、エッチングのマイクロローディング効果も抑制される。これに加えて、エッチングのマイクロローディング効果の問題は、独立した手段によって、所望の化学種を促進する解離度を促進することにより、プラズマの所望の化学物質含有量を選択することで解決されることが可能である。一定の化学種は、エッチングのマイクロローディング効果を抑制することができるので、容量結合電力の誘導結合電力に対する比率を調整することにより、プラズマ中に存在する望ましい種の量を最大限にするように解離を変化させることが可能である。もう1つの効果は、このすべては、全体のプラズマイオン密度を所望のレベルに保持しながら、又は、プラズマイオン密度を独立して調整しながら行なうことができるという点である。
装置
図54は、ワーク1102を処理するための本発明の第1実施形態のプラズマリアクタを示し、ここではワークは、リアクタチャンバ1104内のワーク支持土台1103上に保持される半導体ウェハであることが可能である。必要に応じて、ワーク支持土台1103は、リフトサーボ1105により上昇及び下降させられる。チャンバ1104は、チャンバ側壁1106及び天井1108により区切られている。天井1108は、小さなガス噴射口1110をその内面に有するガス分配シャワーヘッド1109を備え、シャワーヘッド1109は、処理ガス供給部1112から処理ガスを受け取る。リアクタは、誘導結合RFプラズマソース電力アプリケータ1114を備えている。図57に示すように、誘導結合電力アプリケータは、螺旋状に巻かれ、天井1108の上方に天井1108と平行な平面に配置される導電コイル1114aからなることが可能である。これに代えて、図58に示されるように、導電コイルは、平行に螺旋状に巻かれた導体1114b、1114c、1114dからなることが可能である。容量結合RFプラズマソース電力アプリケータ1116は、一実施形態において、ガス分配シャワーヘッド上に位置する天井内の電極である。他の実施形態においては、容量結合RFプラズマソース電力アプリケータ1116は、ワーク支持土台1130内の電極である。誘導結合をコイルアンテナ1114aからチャンバ1104内に導入させるために、ガス分配シャワーヘッド1109は、セラミック等の誘電材料で形成されることが可能である。天井電極1116aは、好ましくは図55に示されるような多数の放射状のスロット1115を、チャンバ1104に上部コイルアンテナ1114aからチャンバへ誘導結合を導入するために有している。これに代えて、図56に示される天井電極1116bを用いてもよく、それは、スロットを有さず、代わりに電極として機能することができる材料で形成されると共に、同時にRF電力の誘導結合を上部コイルアンテナ1114から導入する。そのような材料の一例は、ドーピングされた半導体である。
また、他の実施形態においては、容量結合ソース電力アプリケータ1116は、天井1108内の電極1116a及びワーク支持土台1103内の電極1130の両方を含んでいてもよく、これにより、RFソース電力は、天井1108及びワーク支持土台1103から同時に容量結合がなされる。更に他の実施形態においては、両方の電極1116a及び1130が存在するが、VHFソース電力は、そのうち一方にのみ加えられると共に、他方はVHF帰路又は対向電極として機能する。
RF電力発生器1118は、高周波(HF)電力(例えば、約10MHz〜27MHzの範囲)を、インピーダンス整合素子1120を介して誘導結合コイルアンテナ1114aへ供給する。天井電極1116aが容量結合ソース電力アプリケータである一実施形態において、RF電力発生器1122は、超短波(HF)電力(例えば、約27MHz〜200MHzの範囲内)を、インピーダンス整合素子1124を介して容量結合電力アプリケータ1116へ供給する。下部(ワーク支持土台)電極1130が容量結合ソース電力アプリケータである別の実施形態においては、RF電力発生器1123は、VHF電力を、インピーダンス整合素子1125を介して下部電極1130へ供給する。第3実施形態では、天井及び下部電極1116a、1130の両方が容量結合プラズマソース電力アプリケータを備え、その結果、両方のVHF発生器1122、1123が存在する。他の実施形態においては、両方の電極1116a及び1130が存在するが、VHFソース電力は、そのうち一方にのみ加えられると共に、他方はもう一方の対向電極として機能するために、VHF帰路電位に接続されている(例えば、接地されている)。
容量結合電力ソースアプリケータ1116のプラズマイオン生成効率は、VHF周波数が高いほど良く、その周波数領域は、好ましくは当該容量結合電力を生じるVHF領域内であればよい。両RF電力アプリケータ1114、1116からの電力は、ワーク支持土台1103上に形成されたチャンバ1104内のバルクプラズマ1126と接続されている。
RFプラズマバイアス電力は、ワーク支持土台内であってウェハ1102の下方にある電極1130と接続されたRFバイアス電力源から、ワーク1102へと結合されている。RFバイアス電力源は、低周波(LF)RF電力発生器1132(100kHz〜4MHz)及び他のRF電力発生器1134を備えることが可能であり、他のRF電力発生器1134は、高周波(HF)RF電力発生器(4MHz〜27MHz)であることが可能である。インピーダンス整合素子1136は、バイアス電力発生器1132、1134とワーク支持電極1130との間に接続される。真空ポンプ1160は、チャンバ1104から、排気速度を規制するために用いられるバルブ1162を介して、処理ガスを排気する。バルブ1162を通る排気速度とガス分配シャワーヘッド1109を通る流入ガス流量が、チャンバ圧力と処理ガスのチャンバ内での滞留時間を決定する。ワーク支持土台1103が静電チャックの場合、DCチャック電圧源1170が電極1130に接続されることが可能である。コンデンサ1172は、RF発生器1123、1132、1134を直流電圧源1170から隔離する。
上記第1実施形態においては、VHF電力は、天井電極1116aのみに加えられる。この場合、ワーク支持土台電極1130が天井電極1116aに加えられたVHF電力の帰路として、また、天井電極1116aがワーク支持土台電極1130に加えられたHF電力の帰路として機能することが望ましい。この目的のために、天井電極1116aは、LF/HFバンドパスフィルタ1180を介して接地されることが可能である。バンドパスフィルタ1180は、発生器1122からのVHFが天井電極1116aから接地へと回避されることを防止する。同様に、ウェハ支持台電極1130は、(RF隔離コンデンサ1172を経由し)VHFバンドパスフィルタ1186を介して接地されることが可能である。VHFバンドパスフィルタ1186は、発生器1132、1134からのLF及びHF電力が電極1130から接地へと回避されることを防止する。
上記第2実施形態においては、VHF電力は、ウェハ支持台電極1130のみに加えられる。この場合、ウェハ支持台電極1130は、接地されておらず、VHF発生器1123に(整合1125経由で)接続されており、そのため、VHFバンドパスフィルタ1186は省略されている。同様に、LF/HFバンドパスフィルタ1180は、バイパス(又は省略)されていてもよく、天井電極1116aは直接接地されることも可能である。上記の選択肢は、図54中にスイッチ1184、1188として象徴的に示されている。なお、リアクタは、上記第1又は第2実施形態のいずれかに合うよう構成可能にされているのではなく、その一方に従って(スイッチ1184、1188により)永続的に構成されていてもよく、その結果、VHF発生器1122、1123の一方のみが存在してもよく、そのような場合、スイッチ1184、1188は不要となる。
上記第3実施形態においては、両方の電極1116a及び1130は、VHF発生器1122、1123によって同時に駆動されるために、どちらもVHF接地になり得ない。しかしながら、天井電極1116aは、対向電極又はウェハ支持台電極1130に加えられるLF/HFバイアス電力の帰路となるために、LF/HFバンドパスフィルタ1180を介して接地接続されることが可能である。この実施形態においては、側壁1106がVHF電力用の接地帰路となることが可能である。2つの電極1130、1116a間のVHF位相が異なる場合、各電極は何らかの参照電位を少なくとも各RFサイクルの一部分用として供給することが可能である。例えば、2つの電極1116a、1130間のVHF位相差が180度であった場合、各電極1116a、1130は、各RFサイクルの全体を通して他方の対向電極として機能することが可能である。2つのVHF発生器1122、1123は、単一のVHF発生器として実現されてもよく、ソース電力コントローラ1140が単一のVHF発生器により各々の電極1116b、1130へ供給されるVHF電圧又はVHF電流間の位相の差を管理することが可能である。
ソース電力コントローラ1140は、ソース電力発生器1118、1122を互いに独立して管理し、バルクプラズマのイオン密度、プラズマイオン密度の放射状分布、及びプラズマ中のラジカルやイオンの解離を制御する。コントローラ1140は、各RF発生器1118、1122の出力電力レベルを独立して制御することができる。これに加えて、あるいは、これに代えて、コントローラ1140は、いずれか一方又は両方のRF発生器1118、1122のRF出力を脈動させることが可能であり、そして、各々のデューティサイクルを制御することが可能であり、又は、VHF発生器1122の、そして、必要に応じてHF発生器1118の周波数を制御することが可能である。また、コントローラ1140は、真空ポンプ1160のポンプ流量及び/又は排気バルブ1162の開度を制御することが可能である。これに加えて、バイアス電力コントローラ1142は、バイアス電力発生器1132、1134の出力電力レベルを独立して制御する。コントローラ1140、1142は、上述の本発明の種々の方法を実行するよう稼働される。
図59は、図54の実施形態の他の変形例を示し、ここでは、コイルアンテナ1114aは、各々のRF発生器1194a、1194aにより各々インピーダンス整合素子1196a、1196bを介して給電される1つ(又はそれ以上)のソレノイド導体コイル1190、1192を含んでいる。この場合、天井1108及びシャワーヘッド1109は、平坦(実線)又はドーム形状(破線)のいずれでもよい。図60は、図54の実施形態の一変形例を示し、ここでは、天井1108及びガス分配シャワーヘッド1109が、中央部が高い階段状となっている。この場合、コイルアンテナ1114aは、平坦形状(破線)又は図60の実線で示される半球状(又はドーム状)のいずれの形状をとってもよい。図61は、図54の実施形態の一変形例を示し、ここでは、天井1108及びガス分配シャワーヘッド1109が、半球又はドーム形状となっている。ここでも、コイルアンテナ1114aは、平坦(破線)又はドーム形状(実線)のいずれでもよい。
図62は、別の実施形態を示し、ここでは、誘導結合ソース電力アプリケータ1114が誘導アンテナではなく、トロイダルソースである。トロイダルソースは、処理領域の径により分離されたチャンバ容器内の一対の開口1404、1406に接続された外側中空凹型導管1402からなる。例えば、図62の形態では、開口1404、1406は、天井1108を通ってチャンバの端部に位置し、その結果、それらは、ウェハ支持台1103の径によって分離されている。RF電力は、導管1402の内部へ、磁気(例えば、鉄)トロイダルコア1408によって接続され、コア1408の一部には、導電性コイル1409が巻回されている。RF発生器1118は、整合器1120を介してコイル1409と接続されている。このトロイダルソースは、導管1402及びウェハ1102上部の処理領域を通る環状経路内にプラズマ電流を形成する。このプラズマ電流は、RF発生器1118の周波数で発振する。図63は、図62のリアクタの一変形例を示し、ここでは、天井1108及びシャワーヘッド1109が、中央部が高い階段形状(実線)又はドーム形状(破線)となっている。図27及び図28のトロイダルプラズマソースの利点の1つは、RF電力が、ガス分配シャワーヘッド1109や天井電極1116bを通って直接誘導結合されることがないという点である。そのために、シャワーヘッド1109は、金属製であってもよく、天井電極1116aは、中実(図55のスロット1115を持たない)であってもよく、又は、天井電極は、省略され、VHF電力は金属製ガス分配シャワーヘッド1109に直接接続されてもよく、それの結果、金属製シャワーヘッド1109が天井電極として機能する。
図54〜図61のリアクタの各々は、VHFソース電力をチャンバ内に容量結合し、HFソース電力をチャンバ内に誘導結合する。図62〜図63のリアクタは、VHFソース電力をチャンバ内に容量結合し、HFソース電力をチャンバの処理領域を通じて流れる発振トロイダルプラズマ電流に誘導結合する。この誘導結合素子は、発振トロイダルプラズマ電流の外側部分に対向している。容量結合電力は、図54〜図61の実施形態において、天井電極1116a又はウェハ支持台電極1116bに加えられ、また、図62〜図63の実施形態においては、導電仕様のシャワーヘッド1109(又はウェハ支持台電極1116b)に加えられる。容量結合電力は、バルクプラズマ中にイオンを生成するが、それは、VHF周波数範囲(27〜200MHz)にそれがあるからである。この周波数範囲内では、バルクプラズマ中の運動電子は、容量結合RF電界の発振に追従し、そのため、イオン生成に貢献するのに充分なエネルギを獲得する。これより下の範囲では、容量結合電力は、バルクプラズマ中のイオン生成よりもむしろプラズマシース中のイオンエネルギにより多く貢献し、そのためプラズマソース電力とはならないであろう。そのために、プラズマソース電力(即ち、バルクプラズマ中のイオン生成のための電力)を供給するために、電極1116a(又は1130)に接続されたRF発生器1122(又は1123)がVHF電力を供給する。
すべての処理パラメータに亘る制御は、2つのコントローラ1140、1142により実行されるとして説明したが、コントローラは、すべての処理パラメータ及び調整を制御する単一のコントローラとして実現されることが可能であると理解される。
図36及び図54〜図61の多周波数プラズマリアクタ要素は、同じプラズマリアクタ内の下記の特徴のうち任意の1つ又はすべてと組み合わされることが可能である:(a)非常に均一な分布の電気的特性を有する図1〜図5の陰極、(b)図6及び図7の陰極内の調整可能な電気的素子、(c)図8、図14〜図21、及び図25の裏面光学検出装置、及び(d)図27、図28、図31、及び図32のモジュール型個別バルブ式噴射ノズルのアレイ。これに加えて、図36のコントローラ140(有効VHF周波数と誘導及び容量結合電力レベルの比率を管理する、図26中に示されている調整可能なリアクタ要素の1つとなり得る。このような構成において、有効VHF周波数及び/又は容量及び誘導結合電力レベルの比率は、図26の裏面光学センサアレイ300を用いてフィードバック制御ループにおいて制御されることが可能である。センサアレイ300は、エッチング深さ又はエッチング速度分布における不均一性をリアルタイムで検出するために用いられてもよく、フィードバック制御ループは、処理中の不均一性を減らすように働いてもよい。
以上の説明は、本発明の実施形態のいくつかに向けられているが、その基本的範囲から逸脱することなく本発明の他の及び追加的な形態が実施されてもよく、また、その範囲は特許請求の範囲に基づいて定められる。
本発明の典型的な実施形態が得られ、詳細に理解されることができるように、上記で簡潔に要約された本発明のより具体的な説明は、その実施形態への参照によって理解されることが可能であり、それは添付図面に例示されている。ある周知の処理は、本発明を不明瞭にしないように、ここでは説明されない。
マスクエッチング処理を行なうプラズマリアクタを示す図である。 図1のリアクタの下部を示す図である。 上昇させられた位置の図1のリアクタのマスク支持土台を示す図である。 図1のリアクタの陰極の平面図である。 陰極の他の実施形態の平面及び側面図である。 陰極の他の実施形態の平面及び側面図である。 裏面終了点検出装置を有するプラズマリアクタの簡略図である。 マスクの上面及び裏面から各々得られる光学終了点検出信号のグラフである。 マスクの上面及び裏面から各々得られる干渉縞光学信号のグラフである。 図8のリアクタの一実施形態において得られる多波長干渉スペクトル信号のグラフである。 図10に対応する、全体的な反射光強度に基づく裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 図12に対応する、干渉縞計数に基づく裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 多波長干渉分光測定に基づく裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 発光分光(OES)に基づいた裏面終了点検出を伴う図8のリアクタの実施形態を示す図である。 OES及び干渉の両方に基づいた裏面終了点検出を有する例を示す図である。 図18の実施形態の陰極及び表面板の各々の斜視図である。 図19の陰極の断面図である。 裏面終了点検出を利用する石英マスクエッチング処理におけるステップのシーケンスを示す図である。 裏面終了点検出を利用するクロム−モリブデンシリサイド−石英マスクエッチング処理におけるステップのシーケンスを示す図である。 裏面終了点検出を利用するクロム−石英マスクエッチング処理におけるステップのシーケンスを示す図である。 リアルタイムのエッチング速度分布がマスクの裏面から連続的に測定される実施形態の側面及び平面図である。 個々に制御可能なガス噴射ノズルのアレイを有する実施形態の斜視及び平面図である。 空気圧バルブを利用する図27及び図28の実施形態の実施の平面図である。 作動された図27及び図28のバルブのアレイのうちの異なる1つで得られるマスクに亘るエッチング深さ分布のグラフである。 図27及び図28のリアクタの他の実施形態を示す図である。 図27及び図28のリアクタの他の実施形態を示す図である。 エッチング速度分布の瞬間的二次元画像に基づいたリアクタの調整可能要素のリアルタイムフィードバック制御を行なうことが可能なプラズマリアクタのブロック図及び斜視図である。 図33及び図34のリアクタにおいて行なわれることが可能であるフィードバック制御処理のブロック図である。 本発明の実施形態に係るプラズマリアクタの簡略ブロック図である。 本発明の一実施形態の方法を示すブロック図であり、これらの図はここでは纏めて「図37」と称される。 誘導結合プラズマの典型的なプラズマイオン密度の放射状分布を示すグラフである。 容量結合プラズマの典型的なプラズマイオン密度の放射状分布を示すグラフである。 本発明の方法に係る図36のリアクタにおいて得られるプラズマイオン密度の放射状分布を示すグラフである。 誘導及び容量結合電力の電力レベルの比率の関数としてイオン放射状分布の非均一性(偏差)を示す図である。 誘導及び容量結合電力のパルスデューティサイクルの比率の関数としてイオン放射状分布の非均一性(偏差)を示す図である。 誘導及び容量結合電力レベルの対の値のための一定のプラズマイオン密度の線を示すグラフである。 誘導及び容量結合電力のパルスデューティサイクルの対の値のための一定のプラズマイオン密度の線を示すグラフである。 容量結合電力の異なるVHF周波数のためのソース電力レベルの関数としてバルクプラズマ中の電子密度の依存性を示すグラフである。 本発明の他の実施形態の方法を示すブロック図であり、ここでは纏めて「図44」と称される。 容量的及び誘導結合電力の異なる混合のために得られる異なるバルクプラズマ中の電子エネルギ分布の関数を示すグラフである。 容量結合電力が誘導結合電力に加えられるときに得られる異なるソース電力レベルのための電子エネルギ分布の関数の変化を示す図である。 異なる解離度(電子エネルギ分布)のために得られる異なる光学発光スペクトルを示す図である。 解離度(例えば、自由炭素あるいは自由フッ素の集合)が容量結合電力に対する誘導結合電力の比率の増加と共にどのように増加するかを示すグラフである。 解離度(例えば、自由炭素あるいは自由フッ素の集合)が容量結合電力のデューティサイクルに対する誘導結合電力のパルスデューティサイクルの比率の増加と共にどのように増加するかを示すグラフである。 パルス誘導結合電力及び容量結合電力の同時波形を各々示す図である。 解離度が容量結合電力周波数の増加と共にどのように減少するかを示すグラフである。 ウェハに各々、低周波バイアス電力だけが加えられる場合、高周波バイアス電力だけが加えられる場合、そして、低周波及び高周波の両方のバイアス電力が加えられる場合のシースイオンエネルギ分布のグラフである。 図37又は図44の処理においてエッチングされる多層ゲート構造を示す図である。 第1実施形態に係るプラズマリアクタを示す図である。 図54のリアクタ内の天井電極の異なる実施を示す図である。 図54のリアクタの誘導アンテナの異なる実施形態を示す図である。 他の実施形態に係るプラズマリアクタを示す図である。 他の実施形態に係るプラズマリアクタを示す図である。 他の実施形態に係るプラズマリアクタを示す図である。 他の実施形態に係るプラズマリアクタを示す図である。 他の実施形態に係るプラズマリアクタを示す図である。
理解を容易にするために、図面間で共通する同一の要素を指定するためには可能な限り同一の参照符号が使用されている。一実施形態の要素及び構成が、更なる記述なしに、他の実施形態に有益に組み込まれることが可能であると意図されている。しかしながら、添付図面は本発明の典型的な実施形態だけを例示し、従ってその範囲を限定するものとは解釈されず、本発明に対して他の等しく有効な実施形態を認めることが可能である。

Claims (20)

  1. ワークを処理するプラズマリアクタであって、
    リアクタチャンバと前記チャンバ内のワーク支持部を備え、前記チャンバは前記ワーク支持部に面している天井を有し、
    前記天井の上にある誘導結合プラズマソース電力アプリケータ及び前記誘導結合ソース電力アプリケータに結合されたRF発電機と、
    (a)前記天井、及び(b)前記ワーク支持部のうちの1つにソース電力電極を備えた容量結合プラズマソース電力アプリケータと、
    その底から前記ワーク支持部に亘って延び、前記ワーク支持部の支持面における開口のアレイを形成する通路のアレイと、
    前記通路の各々1つを通じて延び、(a)前記支持面における前記開口を通じた視界を備えた視端、及び(b)前記チャンバの外側の出力端を有している光ファイバのアレイと、
    前記光ファイバの出力端に結合された光学センサと、
    前記誘導結合プラズマソース電力アプリケータ及び前記容量結合プラズマソース電力アプリケータによって前記チャンバ内のプラズマに同時に結合される電力の相対量を調整する前記光学センサに応答するコントローラとを備えるリアクタ。
  2. 前記光学センサからの信号は、ワークの表面に亘るエッチング深さ分布の瞬間的な画像を表わし、前記コントローラは、前記エッチング深さ分布の均一性を高めるように、前記電力の相対量を調整するようにプログラムされている請求項1記載のリアクタ。
  3. ワークを処理するプラズマリアクタであって、
    リアクタチャンバと前記チャンバ内のワーク支持部を備え、前記チャンバは前記ワーク支持部に面している天井を有し、
    (a)前記天井、及び(b)前記ワーク支持部のうちの1つにソース電力電極を備えた容量結合プラズマソース電力アプリケータと、
    前記容量結合ソース電力アプリケータに結合された異なる固定周波数の複数のVHF発電機と、
    その底から前記ワーク支持部に亘って延び、該ワーク支持部の支持面における開口のアレイを形成する通路のアレイと、
    前記通路の各々1つを通じて延び、(a)前記支持面における前記開口を通じた視界を備えた視端と、(b)前記チャンバの外側の出力端を有する光ファイバのアレイと、
    前記光ファイバの出力端に結合された光学センサと、
    前記光学センサに応答し、前記ソース電力電極に加えられた有効なVHF周波数を制御するように、前記複数のVHF発電機の電力出力レベルを独立して制御するコントローラとを備えるリアクタ。
  4. 前記光学センサからの信号は、ワークの表面に亘るエッチング深さ分布の瞬間的な画像を表わし、前記コントローラは、前記エッチング深さ分布の均一性を高めるように、前記電力の相対量を調整するようにプログラムされている請求項3記載のリアクタ。
  5. 前記ワーク支持部にバイアス電力電極を備えたプラズマバイアス電力アプリケータと、
    前記プラズマバイアス電力アプリケータに結合された異なる固定周波数の複数のRF発電機と、
    前記光学センサに応答し、前記プラズマバイアス電力アプリケータに加えられた有効なRF周波数を制御するように、前記複数のRF発電機の電力出力レベルを独立して制御するコントローラとを更に備える請求項3記載のリアクタ。
  6. 前記ワーク支持部内の調整可能要素と、前記調整可能要素に結合された制御要素とを更に備え、前記制御要素は前記光学センサに応答する請求項3記載のリアクタ。
  7. ワークを処理するプラズマリアクタであって、
    側壁及び天井を有する真空チャンバと、
    前記天井に面する支持面を有し、ワークを支持するために前記チャンバ内に配置されたワーク支持土台と、
    その底から前記ワーク支持土台に亘って延び、前記支持面における開口の二次元アレイを形成する通路のアレイと、
    各ファイバが前記通路の各々1つを通じて延び、(a)前記支持面における前記開口を通じた視界を備えた視端、及び(b)前記チャンバの外側の出力端を有する複数の光ファイバと、
    前記光ファイバの前記出力端に結合された光学検出装置と、
    前記土台上に支持された前記ワークの表面に亘る二次元のエッチング速度分布を変更することが可能な調整可能要素と、
    前記光学検出装置から情報を受信し、前記調整可能要素に制御コマンドを送信するように接続された処理コントローラとを備えるリアクタ。
  8. 前記調整可能要素は、
    前記チャンバ、処理ガス供給源、及び該供給源と前記ガス噴射ポートのアレイの各々1つとの間に接続された複数の制御可能なバルブに連通するガス噴射ポートのアレイを備え、前記処理コントローラは前記調整可能なバルブに結合されている請求項7記載のリアクタ。
  9. 前記調整可能要素は、
    前記ワーク支持土台の中空開口と、
    該中空開口内の移動可能な金属板と、
    前記支持面に対する前記金属板の位置を移動させるアクチュエータとを備え、前記処理コントローラは前記アクチュエータに結合されている請求項7記載のリアクタ。
  10. 前記調整可能要素は、
    前記天井の上にある内側及び外側のソース電力アプリケータと、前記内側及び外側のソース電力アプリケータに加えられたRF電力レベルの比率を制御するRF電力コントローラとを備える請求項7記載のリアクタ。
  11. 少なくとも波長の範囲内で透明なワークを処理するプラズマリアクタであって、
    側壁及び天井を有する真空チャンバと、
    前記天井に面する支持面を有し、ワークを支持するために前記チャンバ内に配置されたワーク支持土台と、
    その底から前記ワーク支持土台に亘って延び、前記支持面を通じた開口を形成する通路と、
    前記通路を通じて延び、(a)前記支持面における前記開口を通じた視界を備えた視端、及び(b)前記チャンバの外側の出力端を有する光ファイバと、
    前記光ファイバの出力端に結合され、前記波長の範囲において応答する光学センサとを備えるリアクタ。
  12. 前記支持面の少なくとも近傍の前記通路中のレンズを更に備え、前記支持面の開口を通じて延びる光学軸を有しており、前記光ファイバの視端は前記光学軸上の又はその軸の近傍の前記レンズに面している請求項11記載のリアクタ。
  13. 前記光ファイバの視端は、前記光学軸上の前記レンズに結合される請求項12記載のリアクタ。
  14. 光源と、
    前記チャンバの外部に位置する一端を有し、前記光源から光を受けるように結合され、前記レンズに結合された他端を有する第2の光ファイバとを更に備える請求項12記載のリアクタ。
  15. 前記レンズは、前記ワーク支持土台上に支持されたワークのサイズにおける1ミクロン未満の周期的に間隔を置かれた光学的特徴において生成された干渉縞を解消するのに十分な電力を有している請求項12記載のリアクタ。
  16. 前記光学センサに結合された光学信号プロセッサを更に備える請求項11記載のリアクタ。
  17. 前記光学センサは、周囲の反射光レベルを検出することが可能であり、前記光学信号プロセッサは、エッチング処理終了点を示すように周囲の反射光レベルの大きな変化に応答するようにプログラムされている請求項16記載のリアクタ。
  18. 前記光学センサは、個々の干渉縞を検出することが可能であり、前記光学信号プロセッサは、前記リアクタ内のエッチング処理中に前記土台上に支持されたワークに生成された干渉縞を計数するようにプログラムされている請求項16記載のリアクタ。
  19. 前記光学センサは、分光計であり、前記光学信号プロセッサは、
    (a)多波長干渉スペクトルを公知のスペクトルと比較すること、
    (b)前記分光計によって生成されたスペクトルにおけるスペクトルピーク間の間隔からのエッチング深さを演算すること、
    (c)現在の処理のエッチング深さを判断するために、公知のエッチング深さのスペクトルを持つ前記光学センサから生成された多波長干渉スペクトルを比較することのうちの1つにプログラムされている請求項16記載のリアクタ。
  20. 前記光学センサは光学発光分光計であり、前記光学信号プロセッサはエッチング処理終了点を検出するために選択されたスペクトル線を追跡するようにプログラムされている請求項16記載のリアクタ。
JP2007187993A 2006-10-30 2007-07-19 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ Expired - Fee Related JP5584388B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/589343 2006-10-30
US11/589,343 US20080099450A1 (en) 2006-10-30 2006-10-30 Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution

Publications (2)

Publication Number Publication Date
JP2008112139A true JP2008112139A (ja) 2008-05-15
JP5584388B2 JP5584388B2 (ja) 2014-09-03

Family

ID=39008093

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007187993A Expired - Fee Related JP5584388B2 (ja) 2006-10-30 2007-07-19 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ

Country Status (6)

Country Link
US (1) US20080099450A1 (ja)
EP (1) EP1918969A1 (ja)
JP (1) JP5584388B2 (ja)
KR (1) KR20080039197A (ja)
CN (1) CN101221356B (ja)
TW (1) TW200826185A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012069921A (ja) * 2010-08-23 2012-04-05 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
WO2012109572A1 (en) * 2011-02-11 2012-08-16 Brookhaven Science Associates, Llc Technique for etching monolayer and multilayer materials
JP6085079B2 (ja) 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
CN102555084A (zh) * 2012-02-13 2012-07-11 日月光半导体制造股份有限公司 穿孔制作机台及穿孔制作方法
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US9373551B2 (en) * 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US9859127B1 (en) * 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
US10319649B2 (en) * 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR101914902B1 (ko) * 2018-02-14 2019-01-14 성균관대학교산학협력단 플라즈마 발생장치 및 이를 포함하는 기판 처리 장치
US10930478B2 (en) * 2018-05-24 2021-02-23 Lam Research Corporation Apparatus with optical cavity for determining process rate
KR102125646B1 (ko) * 2019-05-28 2020-06-23 한국기초과학지원연구원 플라즈마 oes 진단용 윈도우 및 이를 이용한 플라즈마 장치
WO2024086606A1 (en) * 2022-10-21 2024-04-25 Applied Materials, Inc. Impedance control of local areas of a substrate during plasma deposition thereon in a large pecvd chamber

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6332924A (ja) * 1986-07-25 1988-02-12 Tokyo Electron Ltd 半導体ウエハ処理装置
JPH02216817A (ja) * 1989-02-17 1990-08-29 Toshiba Corp 基板処理方法
JPH03224224A (ja) * 1990-01-30 1991-10-03 Fujitsu Ltd ドライエッチング方法
JPH04132219A (ja) * 1990-09-24 1992-05-06 Sony Corp プラズマ処理装置とそれを用いる半導体装置の製造方法
JPH06130651A (ja) * 1992-09-07 1994-05-13 Fujitsu Ltd ホトマスクとその製造方法及び検査方法、並びに透明基板のドライエッチング方法
JPH07263531A (ja) * 1994-02-03 1995-10-13 Anelva Corp 静電吸着された被処理基板の離脱機構を持つプラズマ処理装置および静電吸着された被処理基板の離脱方法
JPH08195379A (ja) * 1995-01-12 1996-07-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JPH1020473A (ja) * 1996-07-05 1998-01-23 Toshiba Corp 露光用マスクの製造方法
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JPH11260799A (ja) * 1998-03-13 1999-09-24 Hitachi Ltd 薄膜の微細加工方法
JP2000200783A (ja) * 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
JP2000241121A (ja) * 1999-02-17 2000-09-08 Lasertec Corp 段差測定装置並びにこの段差測定装置を用いたエッチングモニタ装置及びエッチング方法
JP2000323298A (ja) * 1999-03-09 2000-11-24 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2001326217A (ja) * 2000-05-15 2001-11-22 Hitachi Ltd プラズマ処理装置
JP2002184755A (ja) * 2000-12-14 2002-06-28 Matsushita Electric Ind Co Ltd プラズマエッチングモニター方法及び装置
JP2004343026A (ja) * 2003-03-14 2004-12-02 Research Institute Of Innovative Technology For The Earth Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP2005020017A (ja) * 2004-07-29 2005-01-20 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2005056914A (ja) * 2003-08-05 2005-03-03 Hitachi Ltd プラズマエッチング装置およびプラズマエッチング方法
JP2005259836A (ja) * 2004-03-10 2005-09-22 Matsushita Electric Ind Co Ltd プラズマエッチング装置及びプラズマエッチング方法
JP2005531125A (ja) * 2001-10-22 2005-10-13 ユナクシス・ユーエスエイ・インコーポレーテッド パルス化プラズマを使用したフォトマスク基板のエッチングのための方法及び装置
JP2006032303A (ja) * 2004-07-22 2006-02-02 Sharp Corp 高周波プラズマ処理装置および処理方法
JP2008112167A (ja) * 2006-10-30 2008-05-15 Applied Materials Inc フォトマスクエッチングのための終点検出

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4558845A (en) * 1982-09-22 1985-12-17 Hunkapiller Michael W Zero dead volume valve
CH675459A5 (ja) * 1988-03-09 1990-09-28 Sulzer Ag
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
DE69128345T2 (de) * 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US6557593B2 (en) * 1993-04-28 2003-05-06 Advanced Technology Materials, Inc. Refillable ampule and method re same
JP3124204B2 (ja) * 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5552934A (en) * 1994-03-18 1996-09-03 Spm Corporation Background reflection-reducing plano-beam splitter for use in real image projecting system
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
JPH08316279A (ja) * 1995-02-14 1996-11-29 Internatl Business Mach Corp <Ibm> 半導体基体の厚さ測定方法及びその測定装置
US6535210B1 (en) 1995-06-07 2003-03-18 Geovector Corp. Vision system computer modeling apparatus including interaction with real scenes with respect to perspective and spatial relationship as measured in real-time
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5876119A (en) * 1995-12-19 1999-03-02 Applied Materials, Inc. In-situ substrate temperature measurement scheme in plasma reactor
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6041932A (en) * 1999-02-10 2000-03-28 Holmberg; Doublas A. Vitamin organizing, storing and dispensing system
US6388382B1 (en) * 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
KR100748798B1 (ko) * 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 플라즈마 에칭 장치
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP3774094B2 (ja) * 1999-12-02 2006-05-10 株式会社日立製作所 膜厚、加工深さ測定装置及び成膜加工方法
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
CN1199247C (zh) * 2000-05-17 2005-04-27 东京毅力科创株式会社 等离子体刻蚀处理装置及其维护方法
US6458495B1 (en) * 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040062874A1 (en) * 2002-08-14 2004-04-01 Kim Yong Bae Nozzle assembly, system and method for wet processing a semiconductor wafer
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
JP2005523384A (ja) * 2002-04-19 2005-08-04 マットソン テクノロジイ インコーポレイテッド 低蒸気圧のガス前駆体を用いて基板上にフィルムを蒸着させるシステム
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
JP3957705B2 (ja) * 2004-05-28 2007-08-15 株式会社半導体理工学研究センター プラズマ処理装置
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060191638A1 (en) * 2005-02-28 2006-08-31 International Business Machines Corporation Etching apparatus for semiconductor fabrication
KR100694666B1 (ko) * 2005-08-24 2007-03-13 삼성전자주식회사 원자층 증착 챔버의 에어 밸브 장치
US7460251B2 (en) * 2005-10-05 2008-12-02 Taiwan Semiconductor Manufacturing Co. Dimension monitoring method and system

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6332924A (ja) * 1986-07-25 1988-02-12 Tokyo Electron Ltd 半導体ウエハ処理装置
JPH02216817A (ja) * 1989-02-17 1990-08-29 Toshiba Corp 基板処理方法
JPH03224224A (ja) * 1990-01-30 1991-10-03 Fujitsu Ltd ドライエッチング方法
JPH04132219A (ja) * 1990-09-24 1992-05-06 Sony Corp プラズマ処理装置とそれを用いる半導体装置の製造方法
JPH06130651A (ja) * 1992-09-07 1994-05-13 Fujitsu Ltd ホトマスクとその製造方法及び検査方法、並びに透明基板のドライエッチング方法
JPH07263531A (ja) * 1994-02-03 1995-10-13 Anelva Corp 静電吸着された被処理基板の離脱機構を持つプラズマ処理装置および静電吸着された被処理基板の離脱方法
JPH08195379A (ja) * 1995-01-12 1996-07-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JPH1020473A (ja) * 1996-07-05 1998-01-23 Toshiba Corp 露光用マスクの製造方法
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JPH11260799A (ja) * 1998-03-13 1999-09-24 Hitachi Ltd 薄膜の微細加工方法
JP2000200783A (ja) * 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
JP2000241121A (ja) * 1999-02-17 2000-09-08 Lasertec Corp 段差測定装置並びにこの段差測定装置を用いたエッチングモニタ装置及びエッチング方法
JP2000323298A (ja) * 1999-03-09 2000-11-24 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2001326217A (ja) * 2000-05-15 2001-11-22 Hitachi Ltd プラズマ処理装置
JP2002184755A (ja) * 2000-12-14 2002-06-28 Matsushita Electric Ind Co Ltd プラズマエッチングモニター方法及び装置
JP2005531125A (ja) * 2001-10-22 2005-10-13 ユナクシス・ユーエスエイ・インコーポレーテッド パルス化プラズマを使用したフォトマスク基板のエッチングのための方法及び装置
JP2004343026A (ja) * 2003-03-14 2004-12-02 Research Institute Of Innovative Technology For The Earth Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP2005056914A (ja) * 2003-08-05 2005-03-03 Hitachi Ltd プラズマエッチング装置およびプラズマエッチング方法
JP2005259836A (ja) * 2004-03-10 2005-09-22 Matsushita Electric Ind Co Ltd プラズマエッチング装置及びプラズマエッチング方法
JP2006032303A (ja) * 2004-07-22 2006-02-02 Sharp Corp 高周波プラズマ処理装置および処理方法
JP2005020017A (ja) * 2004-07-29 2005-01-20 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2008112167A (ja) * 2006-10-30 2008-05-15 Applied Materials Inc フォトマスクエッチングのための終点検出

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012069921A (ja) * 2010-08-23 2012-04-05 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
TW200826185A (en) 2008-06-16
JP5584388B2 (ja) 2014-09-03
EP1918969A1 (en) 2008-05-07
CN101221356A (zh) 2008-07-16
CN101221356B (zh) 2012-09-05
KR20080039197A (ko) 2008-05-07
US20080099450A1 (en) 2008-05-01

Similar Documents

Publication Publication Date Title
JP5584388B2 (ja) 裏面光学センサ及びエッチング分布の多周波数制御を備えたマスクエッチングプラズマリアクタ
US10170280B2 (en) Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
US7976671B2 (en) Mask etch plasma reactor with variable process gas distribution
US8017029B2 (en) Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8002946B2 (en) Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
TWI428982B (zh) 可切換中性射束源
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
US10950414B2 (en) Plasma processing apparatus and method of manufacturing semiconductor device using the same
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
JP3138693U (ja) ノズルを備えたプラズマリアクタ及び可変プロセスガス分配
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
KR200461689Y1 (ko) 노즐들 및 가변 가능한 공정 가스 분배를 구비한 플라즈마반응기
JP3138694U (ja) カソードリフトピンアセンブリを備えたマスクエッチプラズマリアクタ
KR200461690Y1 (ko) 캐소드 리프트 핀 어셈블리를 구비한 마스크 식각 플라즈마반응기
JPH10335308A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100716

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111025

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120302

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120324

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120329

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130404

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130409

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130510

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130607

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130620

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140204

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140602

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140703

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140718

R150 Certificate of patent or registration of utility model

Ref document number: 5584388

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees