JP2007511070A - 高密度高分子メモリ素子アレイにおけるサイドウォール形成方法 - Google Patents

高密度高分子メモリ素子アレイにおけるサイドウォール形成方法 Download PDF

Info

Publication number
JP2007511070A
JP2007511070A JP2006537996A JP2006537996A JP2007511070A JP 2007511070 A JP2007511070 A JP 2007511070A JP 2006537996 A JP2006537996 A JP 2006537996A JP 2006537996 A JP2006537996 A JP 2006537996A JP 2007511070 A JP2007511070 A JP 2007511070A
Authority
JP
Japan
Prior art keywords
layer
electrode
memory
memory cell
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006537996A
Other languages
English (en)
Inventor
エフ. リヨンズ クリストファー
エス. チャン マーク
ディー. ロパティン サージェイ
サブラマニアン ランクマー
チェン パトリック
バン エヌジーオー ミン
ブイ. オグレズビー ジェイン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2007511070A publication Critical patent/JP2007511070A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5664Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using organic memory material storage elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0009RRAM elements whose operation depends upon chemical change
    • G11C13/0014RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0009RRAM elements whose operation depends upon chemical change
    • G11C13/0014RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material
    • G11C13/0016RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material comprising polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/701Organic molecular electronic devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/202Integrated devices comprising a common active layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

リソグラフィー形状に関連付けて、メモリセルの数を増加させるシステム及び方法が開示されている。システムは、種々の堆積プロセス及びエッチングプロセスを用いることにより、リソグラフィー形状のサイドウォール上に作製されたメモリ素子を備えている。サイドウォールメモリセル(115)はウェハ(806)のビット線(610)を第1の電極(104,1502)として持つことが可能であり、形成された第2の電極(110,1504)とともに、その間に形成された有機物質の一部を作動させるように動作可能である。

Description

本発明は、一般的には半導体構造の製造に関し、より詳細には、半導体チップ内のメモリ素子を作製するシステム及び方法に関する。
ポータブルコンピュータ及び電子デバイスが普及し使用量も増加しているので、メモリセルに対する要求が大幅に増えてきている。デジタルカメラ、デジタルオーディオプレーヤー、携帯情報端末等は通例、大容量メモリセル(例えば、フラッシュメモリ、スマートメディア、コンパクトフラッシュ等)を採用しようとしている。メモリセルは一般的に揮発性のものと不揮発性のものに細分することができる。揮発性メモリセルは、電力が切れた場合や情報保持のために周期的なリフレッシュサイクルを通常必要とする場合、記憶されている情報がたいてい消えてしまう。揮発性メモリセルとしては、例えば、ランダムアクセスメモリ(RAM)、DRAM、SRAM等が挙げられる。不揮発性メモリセルは、デバイスへの電力が維持されているかどうかにかかわらず、記憶されている情報を保持している。不揮発性メモリセルとしては、ROM、プログラム可能読出し専用メモリ(PROM)、消去可能なプログラム可能読出し専用メモリ(EPROM)、電気的消去可能なプログラム可能読出し専用メモリ(EEPROM)、フラッシュEEPROM等が挙げられるが、これらに限られるものではない。揮発性メモリセルは一般的に、不揮発性メモリセルと比べてより低コストでより早い動作を実現する。
メモリセルは多くの場合メモリセルからなるアレイを含んでいる。メモリセルの各々は、アクセス、つまり情報の「読み出し」「書き込み」「消去」が可能である。メモリセルは、「オフ」または「オン」状態、「0」または「1」とも称される状態において、情報を保持している。通常、メモリセルをアドレス指定することにより、特定のバイト数(例えば1バイト当たり8メモリセル)を取り出している。揮発性メモリセルの場合、メモリセルは、自身の状態を保持するためには、周期的に「リフレッシュ」しなければならない。このようなメモリセルは、たいてい、様々な機能を行うとともに2つの状態の切替及び保持が出来る半導体デバイスから製造されている。
同時に、この情報記憶に対する要求の高まりは、増え続ける記憶容量(例えば、ダイまたはチップあたり増加した記憶量)を有するメモリセルに比例したものであり、集積回路技術の進歩は、メモリセルのサイズが連続的に減少していることによって示されている。デバイスがより小さいと、集積密度が高くなり速度が増すという二重の利点が得られる。それにもかかわらず、形状の密度を高くするだけでなく小さくするように区画することは、これらの形状を形成する際に用いられるリソグラフィーの解像度プロセスに制限されている。
従来、形状はインレイ(inlay)プロセスによって区画されてきた。インレイプロセスでは、まず、ウェハ上に形成されたメモリセル及び他のデバイスを含む基板表面を酸化物等の絶縁膜層で覆う。次に、パターニングされたレジストのプロファイルを絶縁膜の表面上に形成する。このレジストプロファイルは、絶縁膜にビアを形成することになっている領域に相当するレジスト内に、開口すなわちホールを有する。レジストの他の領域は細長い開口状になっていて、配線を形成する。次に、このレジストで覆われた絶縁膜層をエッチングして、レジスト内の開口の下にある酸化膜を除去する。その後、レジストをすべて除去する。次に、銅あるいは他の適当な金属を用いてビア及び配線を埋め込む。ここで用いられた金属は通常化学気相堆積(CVD)によって堆積されたものである。その結果、導電金属を様々な高さに有する絶縁膜層が得られる。絶縁膜層の表面は普通、CMPプロセスを用いて平滑にされている。次に、さらなる絶縁膜層を追加して、チップに必要な配線構造を完成させる。このような、インレイプロセスで形成した配線及ビアを有する絶縁膜層は、層間絶縁膜、または層間絶縁膜層と称される時もある。
当初は可視光が使用されていたが、形状のサイズをより小さくしたいという要求から紫外線やX線が使用されるようになった。その結果、高解像フォトリソグラフィを採用することにより、極細のラインやビアが絶縁膜に形成されている。開口からなるパターンは、レジストが反応する波長の光からなる所望のパターンを、被覆している感光性レジスト上に当てることによって、当該レジストに形成される。次に、このレジストを「現像」することにより、光に晒された領域を除去して絶縁膜表面にレジストマスクを残した状態にする。このレジストマスクを次に続く下地絶縁膜のエッチングの際のパターンとして使用する。このように、リソグラフィーの解像度は影響を与えるものであり、最小形状寸法はメモリセルの様々な形状の形成と同様、リソグラフィーの解像度によって制限されている。したがって、半導体製造にリソグラフィー技術を用いながら、半導体チップのメモリ記憶量を増加させことが必要とされている。
以下に、本発明の1つ以上の態様の基本的な理解をもたらすために、本発明の概要を簡単に示している。本概要は、本発明を全般的に要約したものではない。本発明の鍵となる要素や重要な要素を明らかにしたり、また本発明の範囲の線引きをしたりすることを意図したものではない。むしろ、本概要の唯一の目的は、以下に提示されているより詳細な説明への前置きとして、本発明の考え方をいくつか簡素化されたな形で提示することである。
この対象となる発明は、リソグラフィー形状に対応した有機メモリセルの数を増加させるシステム及び方法を備えている。本発明の1つ以上の態様によれば、半導体製造プロセス中に、各リソグラフィー形状のサイドウォール上に高分子メモリ素子を作製する。初めに、対応するバリアを有する導電性材料(例えば銅)からなるビット線を、インレイプロセスにしたがってウェハ表面に形成する。このビット線パターンはシリコン表面から突出して立ち上がっている。このビット線突出部は実質的に、本発明に係るメモリセルの下部電極(すなわちビット線電極)を構成している。
その後、拡散及び注入段階において、不動態(パッシブ)層または媒体(例えば、CuS組成)からなる選択的導電層及び有機層及び媒体(例えば、高分子構成)をビット線上に形成する。この選択的導電層は、隆起形状もしくは砂丘形状を形成するようにしてシリコン基板及びビット線上に堆積される。
次に、エッチングプロセスを用いて、選択的導電層及び導電性ビット線の両方の水平面をエッチング除去する。これにより、平坦面を不動態層(例えばCuS)及びビット線突出部の両方に形成する。このエッチングプロセスの後、残っている構造は選択的導電層の2本の細片からなり、それぞれがビット線突出部の各側部にある。別の表現をすれば、このときビット線突出部は選択的導電性材料からなる2本の細片の間に挟まれており、このようにしてセル状構造が構成されている。このセル構造により、各ビット線突出部が選択的導電性材料からなる隣接する2本の細片と同時に接触または連動することが可能になっている。
続いて、セル構造上に、隆起形状もしくは砂丘形状を形成するように上部電極層を堆積する。この結果、上部電極層とセル構造の間に共有されるように複数の端部が設けられる。要するに、選択的導電層はこの時点で、片側をビット線突出部に、もう片側を上部電極層に挟まれた状態となっている。
次に、エッチングプロセスを用いることにより、上部電極層の水平面を、2つの電極細片を形成するようにエッチング除去していく。ここで、各細片はそれぞれセル構造の側部に積層されているので、本発明に係るメモリセルが作製される。実際において、作製されたメモリセルの構造によって、ビット線突出部(メモリセルの下部電極)がメモリ素子2組(各組は選択的導電性材料及び上部電極層からなる)と関連することが可能になる。別の言い方をすれば、選択的に作動可能な2ビットのメモリセルが、本発明に従って形成されるリソグラフィー形状に対して提供され、かつ、当該形状に関連付けられる。
本発明に係る別の態様において、エッチングプロセスは、異なる段階において、そして選択的導電層及び上部電極層の両方が既に堆積された後においてのみ用いられる。また、エッチングプロセスを、水平エッチングプロセスに限定せず、様々な角度で用いて、リソグラフィー形状の壁部上にメモリ素子を作製するように、異なる層を部分的に選択的にエッチングしてもよい。
さらに、本発明の別の態様によれば、有機金属前駆体を用いたプラズマ化学気相堆積(PECVD)によって、不動態(パッシブ)層を導電層上に堆積する。前駆体により、有毒な硫化水素を用いずに比較的低温低圧(例えば、約473〜573K、0.2Pa)で、導電性促進化合物が堆積しやすくなる。
堆積プロセスを監視及び制御することにより、特に、各層を様々な位置で所望の膜厚に堆積しやすくなる。さらに、本発明の他の態様によって、CuSのかわりに、またCuSに加えて、他の導電性促進化合物を使用しやすくなる。
上述の目的及びそれに関連した目的を達成するために、本発明はさらに、以下に十分に記述する特徴を備えている。以下の説明及び付属の図面は、本発明のある代表的な態様を詳細に説明している。しかし、これらの態様は、本発明の原理を用いてもよい種々の方法を示しているが、そのうちの数種である。本発明の他の態様、利点、及び新規性のある特徴は、以下に続く本発明の詳細な説明を、図面とともに検討するとあきらかになるであろう。各図面を解釈しやすくするために、図面の中には、相対的な縮尺や実際の形状では描かれていないものもある。
以下において、本発明を図面とともに説明する。ここで、全体を通じて、同様の参照符号を用いて同様の要素を参照する。以下の記述では、説明のために、数々の特定の詳細は、本発明を十分に理解するために説明されている。しかし、本発明はこれらの特定の詳細がなくても実施されうることは明白であろう。
本発明は、リソグラフィー形状に対応して有機メモリセルの数を増加させるシステム及び方法を備えている。ここで、メモリ素子はリソグラフィー形状のサイドウォールに作製されている。
このようなマルチセル型多層有機メモリ要素は、各々の間に選択的導電媒体があり、各々が個々のセルを構成する2つ以上の電極とともに作製することができ、同時に、分割要素を用いることで、既に作製したセルに隣接または連動した別のメモリセルを横方向に積層させることが可能になる。有機メモリデバイスの各セルは、インピーダンスの様々なレベルに相当する2つ以上の状態になるように動作する。これらの状態は、バイアス電圧を印加することにより設定され、これにより各セルは逆バイアスである別の電圧を印加するまでそれぞれの状態のままでいる。各セルはそれぞれの状態を電力によって、あるいは電源なし(例えば不揮発性)で保持し、注入電流かまたは発光を測定することにより、電気的または光学的のいずれかで読み出されることができる。本発明の有機メモリデバイスにより、デバイス密度を増加させやすくなり、また、従来の無機メモリデバイスと比べてデバイス性能を高くしやすくなる。
さらに、本発明の有機メモリデバイスは、イオン場及び/または電界のかわりに、電気刺激(例えば、電子及びホールの流入)を用いる。これにより、有機メモリデバイスは性能が高くなり、かつ/または応答が速くなるので、他の種類のデバイスに比べて刺激の変化が可能になる。
図1に、本発明の一態様に従った有機メモリデバイスの斜視図を示す。本メモリデバイスは、第1の電極104、不動態層106、有機高分子層108、及び第2の電極110を備えている。本図はまた、第1の電極104及び第2の電極110に接続されていて、第1の電極104及び第2の電極110に電圧を印加する電圧源102も図示している。
第1の電極104及び第2の電極110は、例えば、アルミニウム、クロム、銅、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、プラチナ、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、ドープアモルファスシリコン、金属シリサイド等の導電性材料からなる。例えば、導電性シリサイド等には合金の使用が可能である。導電性材料に用いることが可能な合金の例としては、Hastelloy(商標)、Kovar(商標)、インバー、Monel(商標)、Inconel(商標)、真鍮、ステンレス鋼、マグネシウム銀合金、及び他の様々な合金が挙げられる。
第1の電極104及び第2の電極110の膜厚は、実施例や構築されるメモリデバイスによって変えることができる。しかし、膜厚の範囲の例の中には、約0.01μm以上で約10μm以下、約0.05μm以上で約5μm以下、及び/または、約0.1μm以上で1μm以下のものもある。
有機層108及び不動態層106は、一括して選択的導電媒体あるいは選択的導電層と称される。この媒体の導電特性(例えば、導電性、非導電性、半導電性)は、電極104及び110を介して媒体に様々な電圧を印加することにより制御する方法で変更することができる。
有機層108は有機小分子や共役高分子等の共役有機材料よりなる。有機層が高分子の場合、共役有機高分子の主鎖は、電極104及び110の間で長手方向に(例えば、一般に、電極104及び110の一側に向かい合う面にほぼ垂直に)伸びている場合もある。共役有機分子は、直鎖であることも可能であるし、主鎖がそれ自身の共役という性質を保つように分岐していてもよい。このような共役分子は、互いに重なり合うπ軌道を有し、2つ以上の共振構造があると仮定できるという特徴を有する。共役有機材料の持つ共役するという性質は、選択的導電媒体の導電特性が制御可能であることに寄与している。
この結合において、共役有機材料には電荷(ホール及び/または電子)をやりとりできる性質がある。一般に、共役有機分子は少なくとも2つの比較的安定した酸化‐還元状態を有する。この2つの安定状態によって、共役有機高分子が電荷のやり取りをすることや、導電性促進化合物と電気的に相互作用することが可能になる。
有機材料は環式でも非環式でもよい。有機高分子等のいくつかの例において、有機材料自身は形成中や堆積中は電極間に集まる。共役有機高分子の例としては、1つ以上のポリアセチレン(シス形またはトランス形)、ポリフェニルアセチレン(シス形またはトランス形)、ポリジフェニルアセチレン、ポリアニリン、ポリ(p−フェニレンビニレン)、ポリチオフェン、ポリポルフィリン系物質、ポルフィリン系大環状分子、チオール変性ポリポルフィリン系物質、ポリフェロセン系物質やポリフタロシアニン系物質等のポリメタロセン系物質、ポリビニレン系物質、ポリスチロール等のうちの1つ以上が挙げられる。その上、有機材料の特性は、適したドーパント(例えば塩)を用いてドーピングすることにより変更することができる。有機層108の組成についてのより詳細な説明を以下で述べる。
有機層108は、選択された実施例及び/または製造されているメモリデバイスに依存する適当な膜厚を有する。有機高分子層108の膜厚の適した範囲例としては、約0.001μm以上で約5μm以下、約0.01μm以上で約2.5μm以下、及び約0.05μm以上で約1μm以下である。
有機層108は数多くの適切な技術によって形成することができる。利用可能な好適な技術の1つは、材料と溶剤の混合物を堆積した後、溶剤を基板または電極から除去するというスピン技術である。他の好適な技術は化学気相堆積(chemical vapor deposition:CVD)である。CVDとしては、低圧化学気相堆積(low pressure chemical vapor deposition:LPCVD)、プラズマ化学気相堆積(plasma enhanced chemical vapor deposition:PECVD)、及び高密度化学気相堆積(high density chemical vapor deposition:HDCVD)が挙げられる。電極または不動態層に付着するために、有機分子の1つ以上の末端に官能性をもたせることは通常必要なことではない。共役有機高分子と不動態層106との間で化学結合が形成される時もある。
不動態層106は、選択的導電媒体の制御可能な導電特性に寄与する導電性促進化合物を少なくとも1つ含有している。導電性促進化合物には電荷(ホール及び/または電子)をやりとりできる性質がある。一般に、導電性促進化合物は少なくとも2つの比較的安定した酸化‐還元状態を有する。この2つの安定状態によって、導電性促進化合物が電荷のやり取りをすることや、有機層108と電気的に相互作用することが可能になる。用いられる導電性促進化合物は、この2つの比較的安定した状態が層108の共役有機分子の2つの比較的安定した状態と一致するように選択される。
不動態層106は、電荷を第1の電極104から有機層108と不動態層106の間の界面に移動させるように機能する。そのうえ、不動態層106は、電荷担体(例えば、電子またはホール)の有機層108への注入を促進して、有機層における電荷担体の濃度を高くする。その結果、有機層108の導電性は変更される。さらに、不動態層106は、デバイス100の全体の電荷のバランスを取るために、不動態層106内に逆電荷を蓄積することができる。
場合によっては、不動態層106は、有機層108を形成する際に触媒として機能することができる。この接続において、共役有機分子の主鎖は、初めは不動態層106近傍に形成されるが、成長し結集すると離れていき、不動態層表面にほぼ垂直になることもある。その結果、共役有機分子の主鎖は、2つの電極を横切る方向に自身で整列する場合がある。
不動態層106を構成する導電性促進化合物の例としては、硫化銅(CuS,CuS)、酸化銅(CuO,CuO)、酸化マンガン(MnO)、二酸化チタン(TiO)、酸化インジウム(I)、硫化銀(AgS,AgS)、酸化鉄(Fe)等のうちの1つ以上が挙げられる。不動態層106は、酸化技術を用いて成長させても、気相反応によって形成しても、電極間に堆積してもよい。
不動態層106は、実施例及び/または構築されるメモリデバイスを基にして変更可能な好適な膜厚を有する。不動態層106の好適な膜厚の例は、約2Å以上で約0.1μm以下,約10Å以上で約0.01μm以下、及び、約50Å以上で約0.005μm以下などである。
有機メモリデバイスの動作を促進するために、有機層108は一般的に不動態層106よりも厚い。一態様において、有機層の膜厚は不動態層よりも約0.1〜500倍厚い。本発明に従って他の好適な比率を用いることができることがわかっている。
有機メモリデバイスは、従来のメモリデバイスと同様に、導電(低インピーダンスもしくは「オン」)状態及び非導電(高インピーダンスもしくは「オフ」)状態の2つの状態を有することが可能である。しかし、従来のメモリデバイスとは違い、有機メモリデバイスは、複数の状態を有し、かつ/または保持することができ、このことは2つの状態(例えば、オフおよびオン)に限定されている従来のメモリデバイスとは対照的である。有機メモリデバイスは、可変の導電率を用いてさらなる状態を識別することができる。例えば、有機メモリデバイスは、導電性がとても高い状態等の低インピーダンス状態(超低インピーダンス状態)、導電性が高い状態(低インピーダンス状態)、導電状態(インピーダンスが中レベルの状態)、及び非導電状態(高インピーダンス状態)を有することができ、それによって、2ビット以上の情報もしくは4ビット以上の情報(例えば、2ビットの情報を供給する4つの状態、3ビットの情報を供給する8つの状態、等)といった複数ビットの情報を1つの有機メモリセルに記憶することが可能になる。
通常のデバイス動作中、有機層がn型導体の場合には、電子が、電圧源102が両電極に印加した電圧に基づいて、第2の電極110から選択的導電媒体を介して第1の電極104へと流れる。あるいは、有機層108がp型導体の場合には、ホールが第1の電極104から第2の電極110へと流れ、有機層が電極106及び110と適正なエネルギーバンドの一致があるn型とp型の両方になり得る場合には、電子とホールの両方が有機層を流れる。このように、電流は選択的導電媒体を介して第1の電極104から第2の電極110へと流れる。
有機メモリデバイスをある特定の状態へと切り替えることを、プログラミングまたは書き込みと称する。プログラミングは、ある特定の電圧(例えば、9ボルト、2ボルト、1ボルト等)を電極104及び110を介して選択的導電媒体の両端に印加することにより実現される。この特定の電圧は閾値電圧とも称されるが、それぞれの所望の状態にしたがって変化し、一般的には、通常動作中に用いられる電圧よりもかなり高い。よって、通常は、それぞれの所望の状態(例えば、「オフ」「オン」等)に相当する別々の閾値電圧が存在する。閾値は、有機メモリデバイスを構成する材料の性質特性、各層の膜厚等を含む数々の要因によって変化する。電圧源102は制御できるように用いられて、本発明のこの態様において閾値電圧を印加する。しかしながら、本発明の他の態様では、閾値電圧を印加する別の手段を用いることが可能である。
一般的に言えば、閾値を超えて印加された電界のような外部刺激が存在する(「オン」状態)と、印加した電圧によって有機メモリセルへの情報の書き込み、読み出し、または消去が行われる。一方、閾値を超える外部刺激が存在しない(「オフ」状態)と、かけた電圧によって有機メモリセルへの情報の書き込みまたは消去が行われない。
有機メモリデバイスから情報を読み出すためには、電圧あるいは電界(例えば、2ボルト、1ボルト、5ボルト)を電圧源102によって印加する。その後、この状態で、メモリデバイスがどの動作状態(例えば、高インピーダンス、超低インピーダンス、低インピーダンス、中程度のインピーダンス等)にあるかを判定するインピーダンス測定を行う。上述のように、インピーダンスは、例えば、2つの状態を有するデバイスの場合には、「オン」(例えば1)または「オフ」(例えば0)と関連し、4つの状態を有するデバイスの場合には、「00」「01」「10」または「11」と関連する。他の状態数により他の2進数の解釈が可能であることが分かっている。有機メモリデバイスに書き込まれた情報の消去を行うには、閾値を超える負電圧または書き込み信号の極性とは逆の極性を印加する。
今度は図1(a)に示すように、本発明に従って横方向に積層することにより高密度メモリ構造を容易にすることが可能な様々なメモリセル115を図示しており、ここで、様々な積層体が同様にメモリデバイスまたは集積回路(integrated circuit;IC)内部で並列に構成可能である。このような縦方向の積層を容易にするためには、図示したように、例えば、薄膜ダイオード(thin film diode:TFD)を、各層を分離して各層間をアクセスしやすくする分割要素として設けることができる。
図2は、本発明の一態様に従った不動態層200の形成を図示した構成図である。CuS層を気相反応処理によって形成する。Cuからなる第1の層206を形成する。第1の層上に第2の層204を形成する。第2の層はCuS(例えば、CuS、CuSあるいはこれらの混合物)からなり、約20Å以上の膜厚を有する。第2の層204上に第3の層202を形成する。第3の層202はCuO及び/またはCuOを含有し、一般的に約10Å以下の膜厚を有する。本発明の代替態様が、組成や膜厚の適当な変更を用いることができるが、それでも本発明に従っていることがわかっている。
図3は、本発明の一態様に従って化学気相堆積(CVD)プロセスによって形成された有機層300を示した構成図である。有機層300は気相反応プロセスによって形成されている。通常、有機層300は、不動態層及び電極と接触して形成される。有機層300は高分子ポリジフェニルアセチレン(DPA)からなる。この高分子層は、図3に示すように、膜厚が約65Åになるように形成される。
次に、図4では、本発明の一態様に従ってCVDプロセスで形成された別の有機層400の構成図を示している。前と同じように、有機層402は気相反応プロセスによって形成されている。有機層402は、不動態層及び電極と接触して形成される。有機高分子層402は高分子ポリフェニルアセチレン(PPA)からなる。図5には、本発明の一態様に従ってスピンコーティングによって形成された別の有機層500の構成図を示している。有機層500は気相反応プロセスではなく、スピンコーティングプロセスによって形成されている。有機層500は、不動態層及び電極と接触して形成される。有機層500は実質上PPAからなり、その膜厚は約1000Åである。
実験結果から、スピンコーティングで形成された有機層は、CVDで形成された高分子層よりもより高信頼性の高分子層を生成することが示される傾向にある。このことは、CVDでの重合により生じた酸素が存在することと、生じた熱を制御していないことによるものと思われる。CVDプロセスでの重合中に熱及び酸素を制御することにより、形成された高分子層の質が向上することが可能であることがわかっている。さらに、一般に、CVDにより形成された有機層は、他の方法で形成された有機層よりも薄くなる。
図2〜5に示した各層の様々な代替物や変形例を、本発明に従って使用可能であることがわかっている。
有機メモリデバイスに用いられる不動態層(例えばCuS)は重要な機能を果たす。この層が存在することで、有機層の導電性は著しく向上するのである。この特徴は、少なくとも部分的に、CuSにより生じた電荷担体、電荷空乏層の蓄積、有機材料における電荷担体の分布、及び、電界反転後の電荷担体再分布によるメモリ消失に関連するものである。以下の説明及び図示は、電荷担体濃度についてのものであり、有機メモリデバイスのふるまいをシミュレーションしているものである。
以下の例において、導電性材料を有機材料として用いており、CuSを不動態層材料として用いている。電荷担体の生成に関しては、CuS内の銅は最も酸化状態の高いCu(II)である。Cu(II)は接触している高分子から電子を得る性質が比較的強いので、以下の式をもたらす。
Figure 2007511070
その結果、CuSと高分子の間の界面上に蓄積された電荷によって、真性電界が生成される。このことを図6に示している。この図はCu(y)Sと高分子の間の界面上にある真性電界の効果が得られることを示すグラフである。式中の酸化された高分子(ポリマー)は外部電界をかけたときの電荷担体である。高分子の導電性は、その濃度と移動度によって決定される。
Figure 2007511070
ここでqは担体の電荷、pは担体濃度、μは移動度である。電荷空乏層に示すように、半導体に関して適用したものと同様の概念を用いて、ポテンシャル関数を以下式として示すことができる。
Figure 2007511070
ここでNは電荷担体の平均濃度、εは高分子の誘電率、dは電荷空乏の幅である。Nは以下の式を用いて得られる。
Figure 2007511070
ここでVは印加された外部電界の電圧である。順電圧の場合、「−」の符号であり、逆電圧の場合には「+」の符号である。数(3)の電圧関数を近似することにより、微分を簡略化できる。
電荷担体分布に関し、半導体のp型ドーピングのように、電界には通常2つのプロセスが発生する。このフラックスは、
Figure 2007511070
として表現できる。ここでDは電荷担体の拡散係数、Eはxでの電界である。電流がない場合、担体分布は、
Figure 2007511070
である。ここでp(0)は界面での濃度、V(0)は界面での電圧であり、V=kT/qである。
順電圧がとても高いので電流フラックスはJ>0であり、セル内の電圧分布に対するいくつかの仮定を用いて、定常流動に対して解析式を導き出すことができる。全体として、順電圧のもとでは、電荷分布p(x)はxの増加関数である。逆電圧が印加された場合、V(x)>Vであり、電荷濃度はxの減少関数である。
最後の特徴である保持時間は、順電圧がより多くの電荷担体を生成し、電荷担体は不動態(CuS)層の反対側の端部(有機高分子から遠い方)により多く蓄積するということと関係がある。しかし、この電荷担体濃度は一旦電圧が印加されなくなると元に戻ってしまうが、このことには2つのプロセス、すなわち、CuS層への電荷担体の拡散及び電荷担体の界面上での再結合が関わっている。
フィックの法則によって、1番目のプロセスであるCuS層への電荷担体の拡散を説明することができる。電荷担体の再結合は以下のように記述できる。
Figure 2007511070
保持時間とは、電荷担体を元の状態に再分布させるのに必要な時間である。反応速度は拡散速度よりも比較的速いと考えられている。従って、保持時間は拡散プロセスのみによってほぼ決定することができる。
ここで、代表的なメモリセルを上記の式(1)〜(9)及び図7〜12に関して考える。代表的なセルを、真性電圧V=0.02V、平衡定数Keq=2.17×10−4、CuS及び高分子の界面での濃度[ポリマー]=[CuS]=1023/cm、高分子膜厚d=5×10−5cm(0.5μm)、及び、CuS膜厚dCuS=5×10−7cm(0.005μm)の各パラメータを用いて考える。6種類の典型例を計算して、有機メモリデバイスの電気的動作を本発明の一態様に従って説明する。
図7は、本発明の一態様に従って、CuSと有機高分子の界面からの距離の関数として表された代表的なメモリセルの電荷担体分布701のグラフ700を示している。電荷担体濃度701は、界面からの距離(x)の減少関数であるように示されている。このグラフ700は、外部電圧V=0で電流J=0と仮定されている。電荷担体濃度701は、式(6)を定電界仮説とともに用いることで導かれる。しかし、図中に示した各点は定電界仮説には依存しない。
次に、図8では、本発明の一態様に従って、代表的な有機メモリセルの電荷担体分布801を示す別のグラフ800が描かれている。グラフ800の場合、各パラメータは、順電圧=0.12V、電流フラックスJ=0のように設定されている。CuS側の端部の電圧は他方の端部(有機高分子側)よりも高い。このことにより、電荷担体はCuS層から離れていくように移動し、その結果、電荷担体濃度はxの増加関数となる。最も低い濃度p(0)でも、この場合には小さな値ではない(例えば、図8に示す場合では3.32×1019cm)。このことが、順電圧を印加した場合に高分子がよい導電体となる理由の説明となる。ここでもまた、グラフ化に用いたのは定電界モデルを有する数(6)である。図中に示した各点は定電界仮説には依存しない。
図9は、本発明の一態様に従って、代表的なメモリセルの電荷担体分布901のさらに別のグラフ900を、CuSと有機高分子の界面からの距離の関数として示している。このグラフでは、各パラメータは、逆電圧=0.28Vで電流J=0のように設定されている。逆方向になった電圧によって、電荷担体はCuS高分子の界面に集中し、界面から遠くなると急激に少なくなり低濃度になってしまう。このことが、高い逆電圧を印加した場合に有機メモリセルが非導電性となる理由の説明となる。ここでもまた、定電界モデルを有する数(6)がグラフ化において仮定されている。図中に示した各点はこの仮定には依存しない。
次に、図10では、本発明の一態様に従って距離の関数として表された代表的なメモリセルの電荷担体分布1001を示す別のグラフ1000が示されている。このグラフ1000では、各パラメータは、順電圧=0.52Vで電流フラックスJ>0(p=1018/cm)のように設定されている。電流フラックスJ>0の場合、順電圧によって電荷担体はCuS界面から離れるように移動するので、電荷担体はまだxの増加関数である。重要な点の1つは、最も低い濃度p(x)が界面にあることである。
図11は、代表的なメモリセルの界面1101での電荷担体濃度のさらに別のグラフ1100を順電圧Vの関数として示している。このグラフでは、各パラメータは、J>0(p=1018/cm)のように設定されていて、定電界モデルであると仮定されている。このモデルは、セル内の電界が一定であると仮定している。したがって、電圧V(x)は一次関数として記述されている。このモデルは、高分子の拡散係数が小さく一定の電気抵抗が存在する場合に適用可能である。このモデルを用いることにより、界面での電荷担体濃度は電圧の関数として導かれる。なお、順電圧が十分に高く電流が界面において電荷注入のない電荷担体によって制御された後では、p(V)は一定になる傾向にある。このように、p(0)は
Figure 2007511070
として再書き込みできる。この数(10)は、限界であるp(0)がCuS層と高分子層の間の膜厚比の増加関数であることを示している。
図12は、本発明の一態様に従って、代表的なメモリセルの界面1201での電荷担体濃度を順電圧Vの関数として表す別のグラフ1200を示している。このグラフ1200では、p(0)は、順電圧、J>0であってもなくてもよい電流J、及び階段状のポテンシャル関数モデルの関数である。このモデルは、電圧V(x)の関数は階段関数によって記述できると仮定している。このモデルは、高分子の拡散係数がとても大きい場合に適用可能である。従って、セル内の電気抵抗は微々たるものである。このモデルを用いることによって、界面での電荷担体濃度は電圧の関数として導かれる。なお、図12において、順電圧が十分に高くなった後では、p(V)はゼロになる傾向にある。界面での電荷担体によって電流フラックスが制御されると、この値は電圧の関数になる。このようにゼロが極限値となるような挙動は、反応(1)によって設定された界面境界での限界によるものである。基本的に、界面から反対側の端部へと電荷担体を速い速度で移動させると、供給限界に達する。よって、限界であるp(0)は、
Figure 2007511070
としても再書き込みされる。ここでもまた、p(0)はCuS層と高分子層の間の膜厚比の増加関数である。
上述の説明に関して、制限フラックスが高分子内にある場合、測定されたフラックスは電荷担体のドリフトによって決定されることに注目するのは重要である。定電界仮説のもとでは、電荷担体濃度を記述する関数はp(x)である。高分子によって制限フラックスが決定される場合、セル内のもっと低い濃度は界面にあるので、p=p(0)を満たす。この条件は結果として一定のp(x)をもたらす。このことは、式(5)における拡散のフラックスへの寄与はゼロであることを意味する。階段状ポテンシャル仮説のもとでは、別の関数を用いて電荷担体濃度p(x)を記述する。初めの電荷担体濃度p(0)の値は、他の領域よりも比較的かなり低い。よって、Jはまだp(0)によって決定されている。注目される別の点は境界条件に関わるものである。これは、半導体とは異なり、全箇所ではなく界面での濃度に適用可能である。この境界条件は、セル内で生成された電荷担体の総量を制限する。
上記の各式(式1〜7)及び図9〜12は、有機メモリデバイスの挙動を説明しシミュレーションしているものである。このモデルは測定されたデータを説明するために用いることができ、またCuSを除く他の不動態層の材料のためのものとなりうる。さらに、このモデルを用いて保持及び応答時間を向上させる方法を考察すること及びトランジスタ等の他のデバイスを設計することが可能である。また、このモデルを用いることで、導電性のレベル(例えば設定状態)の設定、読み出し、消去を行う様々な閾値電圧を生成することができ、これにより、書き込みもしくはプログラミング、読み出し、及び消去といったメモリデバイスの動作を行う。
図13は、本発明の一態様に従って、様々な状態の有機メモリデバイス1300を説明する構造図である。デバイス1300は、第1の「オフ」状態1301、「オン」状態1302、及び第2の「オフ」状態1303において描かれている。本発明に従って製造されたメモリデバイスは、図13に示すもの以外の他の状態も有していることが分かっている。有機メモリデバイス1300は、上部電極1304、下部電極1306、及び有機層(例えばPPA)と少なくとも1つの不動態層(例えばCuS)からなる選択的導電層1308を備えている。
第1のオフ状態1301では、正電荷1310が下部電極1306近傍の選択的導電層1308に集まる。オン状態1302では、正電荷1310が均一に分布されるので、オン状態を示すことになる。第2のオフ状態1303では、正電荷は上部電極1304近傍の選択的導電層1308に集まる。
図14は、図13を参照して説明したメモリデバイス1300のI−V特性を示すグラフ1400である。同図のデバイスは、「オフ」を意味する状態1から、2Vの正電圧を印加することにより、「オン」を意味する状態2に変更されたことが分かる。また、状態1の間は、有機メモリデバイスのインピーダンスは高く、コンダクタンスは低いことがわかる。次に、状態1が得られるまで逆電流を生じさせて負電圧を印加することにより、デバイス1300を状態2から状態1に変更できる。
図15では、本発明の一態様に従って、複数の有機メモリセルを有する有機メモリデバイス1500の立体図を示している。有機メモリデバイス1500は、複数の第1の電極1502、複数の第2の電極1504、及び、複数のメモリセル層1506を有する。第1及び第2の電極の各々の間には、制御可能な導電媒体(図示せず)が存在する。複数の第1の電極1502及び複数の第2の電極1504はほぼ垂直な方向に示されているが、他の方向も可能である。この立体マイクロエレクトロニクス有機メモリデバイスは極めて多数のメモリセルを含有することが可能であり、これによってデバイス密度が向上する。簡略にするために、周辺回路及び周辺デバイスは描かれていない。
有機メモリセルまたはデバイスは記憶を必要とするあらゆるデバイスに有用である。例えば、有機メモリデバイスは、コンピュータ、電気製品、産業設備、携帯型機器、電気通信機器、医療機器、研究開発機器、運搬用車両、レーダまたは衛星機器等に有用である。携帯型機器、特に携帯用電子機器は、有機メモリデバイスが小型・軽量であるので、携帯性の向上が実現できる。携帯型機器の例として、携帯電話及び他の双方向通信機器、携帯情報端末、パーム・パイロット、携帯無線呼出し器、ノートパソコン、遠隔制御装置、レコーダー(ビデオ及びオーディオ)、ラジオ、小型テレビ及びウェブビューア、カメラ等が挙げられる。
次に、図16(a)〜(i)に、本発明の1つ以上の態様に従ったサイドウォールメモリセルの形成における種々の段階を示している。
一般に、ウェハ処理は、リソグラフィープロセスを用いて微細な形状をした集積回路パターンを形成することにかかっている。チップの各層は特定のマスクによって区画されており、通常各ICには16〜24のマスク層が存在する。マスクは、純粋石英ガラス板上のクロム製の膜をパターニングしてレチクルを作成することによって作られる。このパターンはクロムをレーザまたは電子ビーム方式のツールで除去することによりめっきされたクロムめっきの石英の上に形成される。レチクルを工程ごとにウェハ上で露光する。その後、パターニングされたレジストを有するウェハを酸化膜エッチングプロセスに投入し、パターンのない酸化膜を除去する。これには、パターンを酸化膜に転写する効果があり、以降のプロセスにおいて下にあるシリコンに影響を与えたくないところに酸化膜のバリアを形成する。エッチングは、従来からずっと用いられているウェットでの化学か、無線周波発生器により励起されて励起プラズマ状態になったガスを用いた「ドライ」エッチのいずれであってもよい。次に、ウェット及びドライの除去装置を用いることによって、レジストを除去する。
図16(a)では、初めに、対応するバリアを有するビット線610を、インレイプロセスに従って基板層612上に、ビット線パターンがシリコン表面から突出するように形成する。このビット線突出部が実質上図1の下部電極104を構成する。図16(b)は、選択的導電層の形成を示しており、この層は上述のようにプラズマ化学気相堆積615によって堆積することが可能で、これにより図16(c)の層617を形成する。層617は、砂丘形状もしくは複数の端部がある構造でビット線610及び基板612上に堆積可能である。
硫化銅(CuS、CuS)から不動態層からなる薄膜を形成するために、例えば、必要に応じてヘリウムをキャリアガスとして利用しながら、気体状の硫化銅を導電層610上に導入する(615)。有機金属ガスの前駆体をこのプロセスにおいて用いることができ、この前駆体は一定電力のRF励起源によって活性化されることでプラズマを発生させる。有機金属の前駆体を用いると、プロセス内における毒性の強い硫化水素(HS)の必要性が小さくなる。有機金属の前駆体は、例えば、キレート銅(II)ジエチルジチオカルバミン酸すなわちCu(SCN(C(II)であり得る。このプロセスは比較的低圧低温の条件(例えば、約0.2Pa、約473〜573K)下で発生する。
次に、図16(d)に示したように、形成された層617にエッチングプロセス620を施す。本発明の一態様では、エッチングプロセスを、図16(e)に示すようにビット線610を部分的に封止する選択的導電層622を形成するように水平に行う。先に示したように、封止層622は不動態層及び有機メモリ層を備えた多層であり得る。
また、エッチングプロセスは例えば、層610及び622が同じ高さを共有し、平坦な水平面を生成することができるように制御可能である。別の言い方をすれば、エッチングプロセス620は、ビット線610の水平方向の側面に形成されている2つの選択的導電性材料からなる細片の間にビット線突出部が挟まれるように、封止層622の水平部分を除去可能である。また、CMPプロセスをエッチングプロセスと併せて用いることも可能である。
次に、図16(f)を参照することにより、構造630上に、上部電極層を堆積する(635)。この層が、図16(g)に示すように、構造上に砂丘形状もしくは隆起640を構成する。これにより、上部電極層とセル構造の間で共用される複数の端部が設けられる。要するに、選択的導電層622はこの時点で、片側をビット線突出部610に、もう片側を上部電極層640に挟まれた状態である。
次に、図16(h)〜(i)に示すように、エッチングプロセスを用いることにより、上部電極層640の水平面を、2つの電極細片642を形成するようにエッチング除去していく。ここで、各細片はそれぞれ片側がセル構造の側部に積層されているので、本発明に係るメモリセルが作製される。実際において、作製されたメモリセルの構造によって、ビット線突出部610(メモリセルの下部電極)がメモリ素子(各組は選択的導電性材料622及び上部電極層642からなる)2組と連動することが可能になる。別の言い方をすれば、本発明のリソグラフィー形状に従って作製された各メモリセルにとって、2ビットのメモリセルが使用可能となったことになる。
次に、図16(j)に、本発明の一態様に従ったメモリセルの部分側面図及び部分平面図を示している。本発明のこの態様の例において、層間絶縁膜層(Interlayer Dielectric Layer:ILD)650を用いて、隣接する2つのメモリセルの間にある所望の隙間を埋め込むことができる。このILD層上に、導電性材料(例えばアルミニウム)からなるワード線680を形成してもよい。ビット線610とワード線680の交点は、ある特定のメモリセルのアドレスを構成する。メモリセルのアレイにおいて信号を選択して該当する列及び行に送信することにより、データをメモリセル内に記憶(例えば0または1として)することが可能である。本発明の別の態様によれば、図17の例示的なフローチャートに示すように、上部電極層740の形成を行う前に、選択的導電層に対してエッチング及びCMPプロセス740を実施する。上部電極層は、後の段階で今度は別のエッチング及び研磨プロセス780が実施される。
次に、図18に、本発明の1つ以上の態様に従って、導電性を促進する薄膜(例えば、硫化銅(CuS、CuS))を、導電性材料(例えば銅)からなる層の上に、より具体的には有機金属ガスの前駆体を用いたプラズマ化学気相堆積プロセス(PECVD)によって形成するシステム800の概略構成図を示している。形成速度は、ガスの組成及び/または濃度、励起電圧、温度及び/または圧力を含むがこれらに限定されない要素に応じて変化する場合があることが分かっている。ここで説明する形成法は、1つ以上のメモリセルをウェハ上に作製する半導体製造プロセスの一部として使用可能である。
システム800は、複数の壁を有するハウジングにより区画されたチャンバー802を備えている。チャンバー802は、ウェハ806を支持するように動作可能なステージ804(もしくはチャック)を含んでいてもよいような支持体を有する。ここで、ウェハ806は、導電性材料808からなる層を備えており、この層の上には、1つ以上のメモリセルを作製する一部として硫化銅を選択的に形成可能である。位置決めシステム810は、ウェハ806をチャンバー802内部の所望の位置へと選択的に移動させる支持体804に連動するように接続されている。本発明の1つ以上の態様に従って任意の適当な位置決めシステムが使用可能であることは理解されるであろう。さらに、導電性材料は、銅だけではなく、アルミニウム、クロム、ゲルマニウム、金、マグネシウム、マンガン、インジウム、鉄、ニッケル、パラジウム、白金、銀、チタン、亜鉛、これらの合金、インジウムスズ酸化物、ポリシリコン、ドープアモルファスシリコン、金属シリサイド等の他の任意の適当な導電性材料もあり得ることは理解されるであろう。導電性材料に用いることが可能な合金の例としては、Hastelloy(商標)、Kovar(商標)、インバー、Monel(商標)、Inconel(商標)、真鍮、ステンレス鋼、マグネシウム銀合金、及び他の様々な合金が挙げられる。
ガス供給システム812は、チャンバー802に連動するように連結されている。このガス供給システム812は、特に、形成される膜の量(膜厚)、形成される膜(複数の膜)の組成、チャンバー内部の圧力、チャンバー内部の温度、及び/またはチャンバーの寸法等に基づき、様々なレート、体積、濃度等でガス状の化学物質をチャンバーに選択的に供給するためのものである。実例として、ガス供給システム812は、1つ以上の化学物質のガス状媒体(気体)の1つ以上のソースを含む。図示された例では、ガスがノズル816を終端とする管814を通ってチャンバーに供給されている。簡潔にするために、図8では1本のノズル816を示しているが、本発明の1つ以上の態様に従って、1本以上のノズルまたは他のガス送出機構を利用して、様々な混合及び/または濃度でガスをチャンバー802に供給してもよいことはわかるであろう。例えば、シャワーヘッド型ガス送出機構を組み込むことで、チャンバー内のウェハ806上に化学物質をより均等に供給することができ、これにより、ウェハ上及びウェハ全面へのより均一な化学気相堆積が促進される。ガス供給システム812により、化学気相堆積プロセスにおける前駆体として機能する有機金属ガスがチャンバーに注入される。有機金属前駆体は、例えば、キレート銅(II)ジエチルジチオカルバミン酸すなわちCu(SCN(C(II)であり得るが、これによりプロセスにおける毒性の強い硫化水素(HS)の必要性が小さくなる。また、ガス状の硫化銅(CuS、CuS)等の導電性促進材料も、導電層上への堆積用のチャンバーに供給する。ガス状のヘリウムもまた硫化銅とともにチャンバーに供給して、キャリアガスとして機能することができる。他のガスもしくはプラズマ物質をチャンバーに注入することにより、導電層上へ硫化銅を堆積しやすくすることが可能であることは理解されるであろう。
また、温度システム818は、チャンバー802内部の温度を選択的に調整するために設けられている。例えば、システム818は、熱をチャンバー802内に拡散させるように機能する拡散タイプのシステム(例えば、水平炉または垂直炉)であってもよい。温度システム818は自身の温度制御プロセスを実行してもよく、また、このような制御を、エッチングチャンバー802と連動する他のセンサ820の一部として実行してもよい。一例として、硫化銅のプラズマ化学気相堆積を約400〜600Kと比較的低温で実施することができる。また、チャンバー内部の圧力を選択的に調整する圧力システム822をシステム内に有している。圧力システム822は、例えば、様々な程度に制御可能に開き、かつ/または閉じることにより、チャンバー802内部の圧力を選択的に適応させる補助を行ってもよいバルブ826を有する排出管824を1つ以上有していてもよい。硫化銅の堆積は、約0.05から0.5Paの間で、比較的低圧で同様に行うことができる。
また、システム800は、チャンバー802に連動するように接続されている、エッチングチャンバーに対してウェハをロード及びアンロードする搬送システム828を有することが可能である。通常、搬送システム828は自動化されていて、制御された速度でウェハをチャンバーへロード及びアンロードする。システムはさらに、制御システム832に連動するように連結されている、1つ以上の動作パラメータ(例えば、チャンバー内部の温度、チャンバー内部の圧力、堆積される材料の膜厚、堆積中の材料の堆積速度チャート)を(例えば図表及び/またはテキストで)表したものを表示するディスプレイ830を有していてもよい。
システム800の各構成要素へ動作電力を供給する電源834が含まれている。本発明の実施に適した任意の適当な電源(例えば電池、ライン電源)が利用可能である。励起システム836はチャンバー802に連動している。システム836は、コイル840及びRF励起(例えば電圧)源842を有し、ここで、コイル840をRF励起源842で励磁することにより、今度はチャンバー内部の1つ以上のガスを電気的に励起して1つ以上のガス、この場合は硫化銅を導電層等の下地層に堆積しやすくする。特に、励磁されたコイルで発生したRFプラズマ電界及び金属酸化物の前駆体によって、導電を促進する気体状の硫化銅を(必要ならば気体状のヘリウムによって運ばれて)導電層に堆積するプロセスを作動させる。
このシステムは、例えば、堆積中の膜の膜厚のように、チャンバー内の処理をその場で監視する測定システム844を含むことができる。監視システム844は単独型の構成要素であることが可能であり、かつ/または、2つ以上の協働装置及び/またはプロセスの間に分布することも可能である。同様に、監視システム844は1つの物理デバイスまたは論理デバイス(例えばコンピュータ、プロセス)内に常駐することが可能であり、かつ/または2つ以上の物理デバイスまたは論理デバイスの間に分布することが可能である。測定システム844は、光学干渉、光波散乱計測、赤外分光法、エリプソメトリ、走査電子顕微鏡、シンクロトロン、及び/またはX線回折技術を利用してもよいような1つ以上の非破壊測定要素を有している。測定システムはビーム源846及び検出器848を有する。1つのビーム源846と1つのビーム検出器848を図示された例に示しているが、ウェハ上の様々な位置で膜の膜厚や組成を測定するために、これらの要素を2つ以上有していてもよいことは理解されるであろう。ビーム源部846は、1つ以上のビーム850(例えば、周波数が安定したレーザからの光のうち、レーザダイオードまたはヘリウムネオン(HeNe)ガスレーザ)を硫化銅が堆積されたウェハ806上の導電層808の表面に向かって供給する。ビーム820は膜と相互作用を起こし、その結果変化する(例えば、反射、屈折、及び回折)。変化したビーム(複数のビーム)852は測定システム844の検出器部848で受信されるが、ビーム特性(例えば、大きさ、角度、位相、分極)を有しており、入射ビーム(複数のビーム)850と比較して検査することで、堆積中の膜の1つ以上の特性(例えば、膜厚や化学種)の表示を決定することができる。様々な互いに離れた位置に向けられた1つ以上の源からの複数の入射ビームを用いて、例えば、これらの位置での膜厚の測定値がプロセス中ほぼ並行して得られてもよい。このように並行して測定することにより、今度は、堆積の均一性の表示が得られる可能性があり、プロセスを制御して効率的かつ経済的に所望の結果を達成するとともに、例えば、硫化銅の選択的再堆積、及び/または、ウェハ上の特定の場所での化学機械研磨といった次に続く処理工程の必要性及び/または程度を小さくすることに対して有用である。
上記で説明してきたことは、本発明の1つ以上の態様である。もちろん、本発明を説明する目的で、考えられる全ての構成要素の組み合わせまたは方法を述べることは不可能であるが、本発明の多くのさらなる組み合わせや置換が可能であることは、当業者であれば認識するであろう。従って、本発明は、添付請求項の精神と範囲の範疇に入るそういった全ての変形、改良、及び変更を採用することが意図されている。また、いくつかの実施例のうちの1つのみに対して本発明の特定の特徴を開示してきたであろうが、このような特徴を、別の実施例の他の1つ以上の特徴と、求められるように、かつ、任意の与えられた、もしくは特別な実施例のように結合してもよい。
本発明の一態様に従った有機メモリデバイスの斜視図。 本発明の一態様に係る複数の横方向に積層されたメモリセルを示す図。 本発明の一態様に従って有機メモリデバイスで使用可能な不動態層の構成図。 本発明の一態様に従ってCVDプロセスによって形成された有機高分子層を示す構成図。 本発明の一態様に従ってCVDプロセスで形成された別の有機高分子層を示す構成図。 本発明の一態様に従ってCVDプロセスで形成されたさらに別の有機高分子層を示す構成図。 本発明の一態様に従って、不動態層と有機高分子層の間の界面上にある内部電界の効果を示すグラフ。 本発明の一態様に従って代表的なメモリセルの電荷担体分布を示すグラフ。 本発明の一態様に従って代表的なメモリセルの電荷担体分布を示すグラフ。 本発明の一態様に従って代表的なメモリセルの電荷担体分布を示すグラフ。 本発明の一態様に従って代表的なメモリセルの電荷担体分布を示すグラフ。 本発明の一態様に従って代表的なメモリセルの界面での電荷担体濃度を示すグラフ。 本発明の一態様に従って代表的なメモリセルの界面での電荷担体濃度を示すグラフ。 本発明の一態様に従って様々な状態の有機メモリデバイスを表す構造図。 本発明の一態様に従って有機メモリデバイスのI−V特性を示すグラフ。 本発明の一態様に従った有機メモリデバイスの立体図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の選択された段階を示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の選択された段階を示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の選択された段階を示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の選択された段階を示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の選択された段階を示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の選択された段階を示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の種々の段階の続きを示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の種々の段階の続きを示す図。 本発明の一態様に係るサイドウォールメモリセルを作製するウェハ処理の種々の段階の続きを示す図。 本発明の一態様に係るサイドウォールメモリセルを有するリソグラフィーの特徴の断面図及び上面図。 リソグラフィーの特徴においてサイドウォールメモリセルを作製するウェハ処理及び方法のフローチャート。 本発明の1つ以上の態様に従って、1つ以上のメモリセルの製造において、導電層の上に硫化銅を堆積させるシステムを示す概略構成図。 従来技術において知られている在来のメモリセルを示す概略構成図である。

Claims (12)

  1. ウェハ表面のリソグラフィー形状に対応したメモリ構成からなるアレイを備えたメモリデバイス(1300)であって、
    各メモリ構成は、
    前記ウェハ(806)のビット線(610)から形成された第1の電極(104,1502)と、
    前記第1の電極(104,1502)の横に位置する2つの第2の電極(110,1504)と、
    前記第1の電極(104,1502)と前記第2の電極(110,1504)の各々との間に配置された選択的導電媒体を備えており、
    前記第1の電極(104,1502)は、前記第2の電極(110,1504)の各々とともに、前記選択的導電媒体のメモリ部を選択的に作動させるように動作可能であるメモリデバイス(1300)。
  2. 各第2の電極(110,1504)は略垂直であり、前記第1の電極(104,1502)の側部に隣接して横方向に積層されている請求項1記載のメモリデバイス(1300)。
  3. 前記選択的導電媒体は不動態材料(106,200)及び有機材料(108,300,400,402,500)のうちの少なくとも1つを備えた請求項1記載のメモリデバイス(1300)。
  4. 前記第1の電極(104,1502)は前記第2の電極(110,1504)とともに前記有機材料(108,300,400,402,500)のメモリ部を作動させるように動作可能である請求項3記載のメモリデバイス(1300)。
  5. ビット線電極を有し、基板層(612)の上方に隆起面を設けたウェハ(806)を用意するステップと、
    前記隆起面及び前記基板層(612)の上に選択的導電層(622,1308)を形成するステップと、
    前記選択的導電層(622,1308)の上に上部電極層(635,640,642,740)を形成するステップと、
    表面をエッチングして、壁を有するリソグラフィー形状を形成するステップであって、該壁はその上に形成されたメモリセルを備えているステップとを含むメモリセルの製造方法であって、
    前記形成されたメモリセルは2つの隣接するメモリ素子に関連する前記ビット線電極を有し、各メモリ素子は選択的導電層(622,1308)の一部及び前記上部電極層(635,640,642,740)の一部を含んでいるメモリセルの製造方法。
  6. 2つの隣接するメモリ素子を関連づけるステップはさらに、前記メモリ素子の一部を選択的に作動させるステップを含む、請求項5記載のメモリセルの製造方法。
  7. 表面をエッチングするステップはさらに、前記上部電極層(635,640,642,740)の表面または前記選択的導電層(622,1308)の表面をエッチングするステップを含む、請求項5記載のメモリセルの製造方法。
  8. 表面をエッチングするステップはさらに、前記ビット線電極の表面をエッチングするステップを含む、請求項5記載のメモリセルの製造方法。
  9. 前記上部電極層(635,640,642,740)の形成前に前記選択的導電層(622,1308)の表面をエッチングするステップをさらに含む、請求項5記載のメモリセルの製造方法。
  10. 前記選択的導電層(622,1308)の表面をエッチングするステップは、CMPプロセス(740)をさらに含む、請求項5記載のメモリセルの製造方法。
  11. バリア層を形成して前記第1の電極(104,1502)及び前記上部電極が層へ拡散することを軽減するステップをさらに含む、請求項5記載のメモリセルの製造方法。
  12. メモリセルをリソグラフィー形状のサイドウォール(115)上に形成する手段と、
    前記メモリセル(115)をさらなるメモリセルから分割する手段を備えた有機メモリデバイスの製造システム。
JP2006537996A 2003-11-03 2004-09-23 高密度高分子メモリ素子アレイにおけるサイドウォール形成方法 Pending JP2007511070A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/699,903 US7015504B2 (en) 2003-11-03 2003-11-03 Sidewall formation for high density polymer memory element array
PCT/US2004/031275 WO2005045935A2 (en) 2003-11-03 2004-09-23 Sidewall formation for high density polymer memory element array

Publications (1)

Publication Number Publication Date
JP2007511070A true JP2007511070A (ja) 2007-04-26

Family

ID=34551066

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006537996A Pending JP2007511070A (ja) 2003-11-03 2004-09-23 高密度高分子メモリ素子アレイにおけるサイドウォール形成方法

Country Status (7)

Country Link
US (1) US7015504B2 (ja)
EP (1) EP1697992A2 (ja)
JP (1) JP2007511070A (ja)
KR (1) KR101087299B1 (ja)
CN (1) CN100539159C (ja)
TW (1) TWI359495B (ja)
WO (1) WO2005045935A2 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101215279B1 (ko) * 2004-05-21 2012-12-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 조명 장치
US7148144B1 (en) * 2004-09-13 2006-12-12 Spansion Llc Method of forming copper sulfide layer over substrate
US7269050B2 (en) * 2005-06-07 2007-09-11 Spansion Llc Method of programming a memory device
JP5052055B2 (ja) * 2005-07-01 2012-10-17 株式会社半導体エネルギー研究所 記憶装置及び半導体装置の作製方法
KR101369864B1 (ko) * 2005-08-12 2014-03-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치 및 그 제조방법
US7291563B2 (en) * 2005-08-18 2007-11-06 Micron Technology, Inc. Method of etching a substrate; method of forming a feature on a substrate; and method of depositing a layer comprising silicon, carbon, and fluorine onto a semiconductor substrate
JP4919146B2 (ja) * 2005-09-27 2012-04-18 独立行政法人産業技術総合研究所 スイッチング素子
EP1784055A3 (en) * 2005-10-17 2009-08-05 Semiconductor Energy Laboratory Co., Ltd. Lighting system
US8283724B2 (en) 2007-02-26 2012-10-09 Semiconductor Energy Laboratory Co., Ltd. Memory element and semiconductor device, and method for manufacturing the same
JP2008311449A (ja) * 2007-06-15 2008-12-25 National Institute Of Advanced Industrial & Technology シリコンによる2端子抵抗スイッチ素子及び半導体デバイス
US8198124B2 (en) * 2010-01-05 2012-06-12 Micron Technology, Inc. Methods of self-aligned growth of chalcogenide memory access device
US9178142B2 (en) * 2013-03-04 2015-11-03 Intermolecular, Inc. Doped electrodes used to inhibit oxygen loss in ReRAM device
CN111640864A (zh) * 2020-05-28 2020-09-08 厦门半导体工业技术研发有限公司 一种半导体集成电路器件及其制造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003017282A1 (fr) * 2001-08-13 2003-02-27 Advanced Micro Devices, Inc. Cellule de memoire
WO2003032392A2 (en) * 2001-10-09 2003-04-17 Axon Technologies Corporation Programmable microelectronic device, structure, and system, and method of forming the same
WO2003046995A1 (en) * 2001-11-28 2003-06-05 Thin Film Electronics Asa Matrix-addressable apparatus with one or more memory devices
WO2003052827A1 (fr) * 2001-12-18 2003-06-26 Matsushita Electric Industrial Co., Ltd. Memoire non volatile
JP2003248241A (ja) * 2002-02-25 2003-09-05 Fuji Photo Film Co Ltd エレクトロクロミック装置
JP2005518665A (ja) * 2002-02-20 2005-06-23 マイクロン テクノロジー インコーポレイテッド 抵抗変化メモリ用のセレン化銀/カルコゲナイドガラス
JP2005521245A (ja) * 2002-03-14 2005-07-14 マイクロン テクノロジー インコーポレイテッド 可変抵抗材料セルの製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0821826B1 (en) * 1996-02-16 2003-07-23 Koninklijke Philips Electronics N.V. Write-once read-many electrical memory element of a conjugated polymer or oligomer
US6545891B1 (en) * 2000-08-14 2003-04-08 Matrix Semiconductor, Inc. Modular memory device
WO2002049643A1 (en) * 2000-12-21 2002-06-27 The Mclean Hospital Corporation Treatment of depression
US6501134B1 (en) * 2001-01-09 2002-12-31 Advanced Micro Devices, Inc. Ultra thin SOI devices with improved short-channel control
US6858481B2 (en) * 2001-08-13 2005-02-22 Advanced Micro Devices, Inc. Memory device with active and passive layers
US6847047B2 (en) * 2002-11-04 2005-01-25 Advanced Micro Devices, Inc. Methods that facilitate control of memory arrays utilizing zener diode-like devices
US6656763B1 (en) * 2003-03-10 2003-12-02 Advanced Micro Devices, Inc. Spin on polymers for organic memory devices
US6977389B2 (en) * 2003-06-02 2005-12-20 Advanced Micro Devices, Inc. Planar polymer memory device
US6858883B2 (en) * 2003-06-03 2005-02-22 Hewlett-Packard Development Company, L.P. Partially processed tunnel junction control element
US6803267B1 (en) * 2003-07-07 2004-10-12 Advanced Micro Devices, Inc. Silicon containing material for patterning polymeric memory element

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003017282A1 (fr) * 2001-08-13 2003-02-27 Advanced Micro Devices, Inc. Cellule de memoire
WO2003032392A2 (en) * 2001-10-09 2003-04-17 Axon Technologies Corporation Programmable microelectronic device, structure, and system, and method of forming the same
WO2003046995A1 (en) * 2001-11-28 2003-06-05 Thin Film Electronics Asa Matrix-addressable apparatus with one or more memory devices
WO2003052827A1 (fr) * 2001-12-18 2003-06-26 Matsushita Electric Industrial Co., Ltd. Memoire non volatile
JP2005518665A (ja) * 2002-02-20 2005-06-23 マイクロン テクノロジー インコーポレイテッド 抵抗変化メモリ用のセレン化銀/カルコゲナイドガラス
JP2003248241A (ja) * 2002-02-25 2003-09-05 Fuji Photo Film Co Ltd エレクトロクロミック装置
JP2005521245A (ja) * 2002-03-14 2005-07-14 マイクロン テクノロジー インコーポレイテッド 可変抵抗材料セルの製造方法

Also Published As

Publication number Publication date
WO2005045935A2 (en) 2005-05-19
EP1697992A2 (en) 2006-09-06
KR101087299B1 (ko) 2011-11-29
US20050092983A1 (en) 2005-05-05
WO2005045935A3 (en) 2005-10-13
US7015504B2 (en) 2006-03-21
CN1954431A (zh) 2007-04-25
TW200531263A (en) 2005-09-16
TWI359495B (en) 2012-03-01
KR20060109456A (ko) 2006-10-20
CN100539159C (zh) 2009-09-09

Similar Documents

Publication Publication Date Title
KR101415283B1 (ko) 메모리 셀 형성을 위한 인-시투 표면 처리
KR101163681B1 (ko) Cu2s의 mocvd 형성
US6753247B1 (en) Method(s) facilitating formation of memory cell(s) and patterned conductive
US9219166B2 (en) Nonvolatile flash memory structures including fullerene molecules and methods for manufacturing the same
US7645632B2 (en) Self aligned memory element and wordline
US6803267B1 (en) Silicon containing material for patterning polymeric memory element
CN101267002B (zh) 非易失性存储元件及其制造方法
US20060231889A1 (en) Two-terminal solid-state memory device and two-terminal flexible memory device based on nanocrystals or nanoparticles
JP2007511070A (ja) 高密度高分子メモリ素子アレイにおけるサイドウォール形成方法
CN100428519C (zh) 制造记忆胞元之方法、记忆胞元及记忆胞元装置
US6836398B1 (en) System and method of forming a passive layer by a CMP process
US7012013B1 (en) Dielectric pattern formation for organic electronic devices
US8542540B2 (en) Nonvolatile memory and methods for manufacturing the same with molecule-engineered tunneling barriers
US7199416B1 (en) Systems and methods for a memory and/or selection element formed within a recess in a metal line

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070907

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20071122

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20071122

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100324

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100506

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110621

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110920

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110928

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111020

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111027

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111118

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120424

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20120830