JP2007508705A - 半導体装置とこの種の半導体装置の製造方法 - Google Patents

半導体装置とこの種の半導体装置の製造方法 Download PDF

Info

Publication number
JP2007508705A
JP2007508705A JP2006534878A JP2006534878A JP2007508705A JP 2007508705 A JP2007508705 A JP 2007508705A JP 2006534878 A JP2006534878 A JP 2006534878A JP 2006534878 A JP2006534878 A JP 2006534878A JP 2007508705 A JP2007508705 A JP 2007508705A
Authority
JP
Japan
Prior art keywords
region
source
drain
semiconductor body
extension
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006534878A
Other languages
English (en)
Inventor
マルクス、ジ.ハー.バン、ダル
ラドゥ、セ.スルデーヌ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Koninklijke Philips NV
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips NV, Koninklijke Philips Electronics NV filed Critical Koninklijke Philips NV
Publication of JP2007508705A publication Critical patent/JP2007508705A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Abstract

この発明は、基板と半導体本体(1)とを有する半導体装置(10)に関し、半導体本体(1)がソース(2)及びドレイン(3)を有する第一のFET(3)を備え、ソース(2)及びドレイン(3)は、金属シリサイドを含む接続領域(2B,3B)が設けられ、そして、ゲート(6)下部のチャネル領域(4)と境界を成し且つソース(2)及びドレイン(3)より厚みが薄く且つドーピング濃度が低いソース及びドレイン領域拡張部(2A,3A)に接続されている。ソース(2)及びドレイン(3)とソース及びドレイン領域拡張部(2A,3A)とは、第一の導電型で且つ厚み及びドーピング濃度がソース(2)及びドレイン(3)とソース及びドレイン領域拡張部(2A,3A)との間の中間領域(2C,3C)により互いに接続されている。このようにして、接続領域(2B,3B)と基板と間にリーク電流並びに短絡が起きるのが抑制され、一方で、ソース及びドレイン領域拡張部(2A,3A)を用いることの効果が維持される。好ましくは、中間領域(2C,3C)がゲート(6)直近のスペーサ(7)下部に位置し、好ましくは、これらは、なるべく傾けられたイオン注入により形成される。

Description

この発明は、基板とシリコンの半導体本体とを有する半導体装置であって、前記半導体本体が電界効果型トランジスタを備え、該電界効果型トランジスタが、前記半導体本体の表面と境界を成し且つ低濃度ドープの薄いソース領域拡張部に接続されたソース領域と、前記半導体本体の表面と境界を成し且つ低濃度ドープの薄いドレイン領域拡張部に接続されたドレイン領域とを有し、前記ソース及びドレイン領域及び前記ソース及びドレイン拡張部は第一の導電型であり、そして、前記ソース及びドレイン領域と前記ソース及びドレイン拡張部との間に位置するチャネル領域を有し、前記チャネル領域は前記第一の導電型と反対の第二の導電型であり、そして、誘電体により前記チャネル領域から分離されたゲート電極とを有し、前記ソース領域及び前記ドレイン領域に金属シリサイドを含む接続領域が設けられている、半導体装置に関する。この種の半導体装置は、特に、所謂、(C)MOS(相補)金属酸化半導体電界効果型トランジスタ)IC(集積回路)として多く存在している。この発明は、さらに、この種の半導体装置の製造方法に関する。
冒頭で述べた種類の装置は、1996年9月10日に発行された米国特許明細書US5,554,549より知られている。この文書で議論されているのは、金属シリサイドを含むソース領域の接続領域がこの接続領域と基板との間で短絡が起きる可能性であり、この短絡が起こり得る場所は、ソース領域及びドレイン領域の過剰なさらなる拡張部上に金属シリサイドが位置している部分であり、さらなる拡張部は、ゲート電極から背けられているソース領域及びドレイン領域の一側に位置している。このさらなる拡張部の存在は関連するMOSFET(電界効果型トランジスタ)のある特別な製造方法に関わり、このMOSFETもゲート電極と境界を成すソース領域拡張部及び同様なドレイン領域拡張部を備えるものである。そのような短絡を避けるために、ここでは、過剰なさらなる拡張部がもはや形成されないような方法を採用することが提案されている。
この既知の装置の欠点は、接続領域と基板との間に依然として高リーク電流が発生、又は、短絡さえも起こり得ることである。この問題は、特に装置が小さい場合、例えば、100nm以下の世代の(C)MOSICで顕著になる。
既知の方法の欠点は、コスト高に繋がり、歩留まりにも影響を与えかねない、かなり多くの工程を要することである。
従って、この発明の目的は、そのような欠点が無く、又は、ほぼ無く、そして、リーク電流が非常に小さく且つ短絡が起きない装置を提供することである。
これを達成するために、この発明に従って、冒頭で述べた種類の方法が、前記ソース領域と前記ソース領域拡張部と、そして、前記ドレイン領域と前記ドレイン領域拡張部とは各々の場合において前記第一の導電型の中間領域により互いに接続され、前記中間領域の厚み及びドーピング濃度の範囲は、前記中間領域により互いに接続された前記ソース及びドレイン領域と前記ソース及びドレイン領域拡張部との厚み及びドーピング濃度の間にあることを特徴とする。この発明は、第一に、既知の装置で上記依然として生じるリーク電流又は短絡さえもが、例えば、屡々、完全に金属シリサイドにより覆われ、ソース領域拡張部と重なり、又は、少なくとも接触するソース領域の位置で大きくなるという認識に基づいている。この領域は非常に薄く且つ比較的軽くドープされるので、比較的大きなリーク電流がこの領域を流れ、又は、基板との短絡さえも起こり得る。特に、装置寸法が小さく、そして、半導体本体上に堆積された金属と半導体本体のシリコンとの反応で金属シリサイドが形成されるとこの問題は顕著になる。この発明は、さらに、中間の厚み並びにドーピング濃度を有する中間領域によりソース領域とソース領域拡張部とを接続するとこの問題が解決されるという認識に基づいている。金属シリサイドが中間領域と境界を成す部位において、又は、この領域と重なり合う部位においてはリーク電流、そして、短絡のリスクが軽減され、これは、この領域がより厚く、よりドーピング濃度が高いからである。これにより、一方で、リーク電流が抑制され且つ絶縁破壊が無くなり、他方で、ソース領域拡張部の効果が維持される。この発明は、さらに、そのような中間領域は非常に簡単に形成され、従って、装置の製造が簡単になるという認識に基づいている。
この発明のある好ましい実施形態では、前記金属シリサイドが部分的に前記半導体本体内の凹所となっている。そのような凹所の金属シリサイドは半導体本体上に堆積された金属と半導体本体下部のシリコンとの反応により金属シリサイドが形成される製造プロセスで形を成す。従って、この発明の方策は特に効果的である。
ある好ましい実施形態では、前記ゲート電極のいずれかの側において、電気的絶縁材料のスペーサが前記半導体本体上に位置し、拡大して見ると、前記中間領域及び前記対応拡張部がこれらスペーサの下部に位置している。そのようなスペーサに助成されて、明細書後半で明らかになるように、ソース領域(そしてドレイン領域)と対応中間領域とが形成でき、一方、金属シリサイドが中間領域と全く又はほぼ全く重なり合わず、従って、ソース領域拡張部から安全な距離を保つことになる。
好ましくは、前記中間領域はイオン注入により形成される。この技術は非常に適切であり、何故ならば、この技術でも前記ソース領域と前記ソース領域拡張部とを効果的に形成できるからである。
さらには、この技術はスペーサ下部に中間領域を形成するのに適切に用いることができ、何故ならば、前記注入が前記半導体本体の表面と成す角度が斜めとなってもよく、スペーサを介して中間領域を形成するのがより簡単になるからである。
基板とシリコンの半導体本体とを有する半導体装置であって、前記半導体本体が電界効果型トランジスタを備え、低濃度ドープの薄いソース領域拡張部に接続されたソース領域と低濃度ドープの薄いドレイン領域拡張部に接続されたドレイン領域とが前記半導体本体の表面上に形成され、前記ソース及びドレイン領域及び前記ソース及びドレイン拡張部は第一の導電型であり、前記ソース及びドレイン領域との間に、そして、前記ソース及びドレイン拡張部との間に、前記第一の導電型と反対の第二の導電型のチャネル領域が形成され、該チャネル領域には誘電領域が設けられ、該誘電領域上にゲート電極が形成され、前記ソース領域及び前記ドレイン領域には金属シリサイドを含む接続領域が設けられる半導体装置の製造方法が、この発明に従って、前記ソース領域と前記ソース領域拡張部との間に、そして、前記ドレイン領域と前記ドレイン領域拡張部との間に前記第一の導電型の中間領域が形成され、前記中間領域の厚み及びドーピング濃度の範囲は、前記中間領域により互いに接続された前記ソース及びドレイン領域と前記ソース及びドレイン領域拡張部との厚み及びドーピング濃度の間にあることを特徴とする。
この発明の方法のある好ましい実施形態では、前記半導体本体上に金属を設け、そして、この金属を前記半導体本体のシリコンと反応させて前記接続領域の前記金属シリサイドを形成することにより前記金属シリサイドが形成される。好ましくは、前記ゲート電極のいずれかの側において電気的絶縁材料のスペーサが形成され、前記第一の導電型のドーピング要素のイオン注入により前記中間領域が形成され、前記イオン注入は前記半導体本体内の前記表面の法線に対し鋭角に行われる。0度と45度と、そして、好ましくは、20度と40度との間の角度により良い結果が得られる。
適切な注入エネルギ範囲は約1と10keVの間である。注入ドーズ量範囲は、例えば、5x1013at/cmと5x1014at/cmとの間、そして、好ましくは、1から2x1014at/cmの間の範囲である。
適切な変形例では、前記中間領域が前記ソース領域及び前記ドレイン領域の形成直前又は直後に形成され、そして、前記中間領域と前記ソース領域、前記ドレイン領域と前記中間領域が同じ工程間に緩和される。従って、この方法は、既知の方法に比べて、必要となる適応及び又は拡張が比較的小さい。
この発明の、これらの、そして、その他のアスペクトが以下に記載される(各)実施形態より明らかとなる。
各図は実際のスケール通りには描かれておらず、特に、厚み方向では明瞭にするために誇張されている。異なる図において対応する領域又は部分は可能な限り同じハッチング又は同じ参照番号により示されている。
図1はこの発明による半導体装置の厚み方向に対して直角な概略横断面図である。図2は既知の半導体装置の厚み方向に対して直角な概略横断面図である。両装置10は半導体本体1を備え、ここでは、半導体本体1は図では別々には示されていないシリコン半導体基板を含む。装置10は、実際には、所謂、トレンチ又はLOCOS(シリコンの局所酸化)分離等の、分離領域(示されていない)を端部に備える。実際には、半導体本体1も、屡々、ここでは一方のみしか示されていないが、NMOS及びPMOSトランジスタを形成するためのn型並びにp型領域を備える。半導体本体1の表面に在るのは、ここではn型のソース領域2及びドレイン領域3と、これら領域間に、ここではP型のチャネル領域と、チャネル上に、ここではシリコンの酸窒化物の誘電体領域5とである。ソース及びドレイン領域2,3は各々ソース及びドレイン領域拡張部2A、3Aに接続され、これら拡張部は、ここでは二酸化シリコンのスペーサ7の下部に位置しており、スペーサ7は、ここでは多結晶シリコンのゲート電極6と境界を成している。ソース及びドレイン領域2,3の厚み並びにドーピング濃度は各々40から70nmの範囲、そして、1021から5x1021/cmの範囲にある。これら領域の拡張部2A、3Aにおいては、それらの値は各々10から30nmの範囲、そして、1020から1021/cmの範囲にある。ゲート電極の幅は、ここでは、10から100nmの間であり、厚みは50から150nmの間であり、一方、スペーサ7の幅は、例えば、40から120nmの範囲である。ソース及びドレイン領域2,3は接続領域2B、3Bにより覆われ、接続領域は、金属シリサイド、ここでは、厚みが25から35nmの範囲のコバルトデシリケートを含む。ゲート電極6は同材料の接続領域6Bにより覆われている。
既知の装置10では(図2参照)、参照番号20で示された点において、接続領域2B、3Bと基板との間でリーク電流が大きくなり、又は、絶縁破壊さえも起こり得る。この発明の装置では(図1参照)、ソース及びドレイン領域2,3と関連拡張部2A,3Aとの間に中間領域2C,3Cがあり、中間領域は中間の厚み並びに中間のドーピング濃度を有する。厚みは、ここでは、約20から50nmの範囲であり、そして、ドーピング濃度は1018から5x1018/cmの範囲にある。これら中間領域2C,3Cのために、既知装置の危険領域20の位置でのリーク電流がこの発明の装置10では抑制され、絶縁破壊のリスクも抑制される。これらのために、ソース及びドレイン領域2,3と基板とのダイオードの特性が大幅に改善され、この例ではMOSFETの特性も大幅に改善される。
この例では、金属シリサイド領域2B、3Bは少なくとも部分的に半導体本体1内で凹所となっており、これは、熱処理により半導体本体1のシリコンと反応する、半導体本体1の表面での金属の堆積により形成されるからである。図では領域2B、3Bが全体的に凹所となっている。実際は、シリサイド領域2B、3Bの上面が半導体本体1の表面より下に位置することさえある。このような装置10では、この発明による方策の効果は比較的大きい。中間領域2C,3Cは、好ましくは、この例の場合では、イオン注入により形成され、ほぼ完全にスペーサ7下部に位置される。この例の発明の装置10は、この発明の方法を用いて以下のように製造される。
図3乃至図6はこの発明による方法の実施形態を用いた製造の連続工程における半導体装置の厚み方向に対して直角な概略横断面図である。初期工程(図3参照)は部分的に慣例として、ここでは、分けて示されてはいない。半導体本体1の表面が、ここでは、シリコン酸窒化物を備え、厚みが40と1.570nmと間の範囲にある誘電体層5により覆われている。ここでは、ドーピングされてもされなくてもよい多結晶シリコン層6が、ここでは、CVD(化学気相成長)により誘電体層5上に設けられる。次に、フォトリソグラフィ及びエッチングによりゲート電極6が確定される。誘電体層を均一に堆積することによりスペーサ7が形成され、誘電体層が続いて異方性エッチングされる。次に、第一のイオン注入Iによりソース及びドレイン領域2,3が形成される。このプロセスでは、ゲート電極6は保護されず、ゲート電極のシリコンもまたドーピングされる。
続いて(図4参照)、中間領域2C,3Cが第二のイオン注入Iにより形成される。この第二の注入Iは法線に対して0から45度の範囲の角度Aで行われ、ここでは、法線に対して約20度である。その結果、中間領域2C,3Cがスペーサ7下部に形成される。次に、摂氏900から1100度の範囲の温度でRTA(急速サーマルアニール)によりイオン注入I、Iが緩和される。
続いて(図5参照)、エッチングによりスペーサ7が除去され、その後、ソース及びドレイン領域拡張部2A,3Aが第三のイオン注入Iにより形成される。このイオン注入Iは、例えば、所謂、フラッシュ又はレーザRTA(急速サーマルアニール)により緩和される。
続いて(図6参照)、ここではコバルトである、金属層8が蒸着により設けられる。そこで、第一の低温熱処理により、ソース及びドレイン領域2,3とゲート電極との位置に、ここからマスクが除去されて、反応物、即ち、金属含有量が多い金属シリサイドが形成される。そして、これら領域上の余剰金属並びにスペーサ7の位置の金属層8全体がエッチングにより除去される。より高温のさらなる熱処理において、コバルト含有量が多いシリサイドがコバルトデシリサイドに変態され、その結果(図1参照)、ソース及びドレイン領域2,3の接続領域2B,3B並びにゲート電極6の接続領域6Bが形成される。
最後に、通常の方法でトランジスタTの製造が完了する。即ち、一層以上の誘電体層が適用され、誘電体層にコンタクト開口が設けられ、その後、例えばアルミニウムの導電層が適用且つパターンイングされ、そして、ソース及びドレイン領域2,3並びにゲート電極6用の接続導体が導電層から形成される。簡略化のためにこれら工程は図示されていない。ソーイング等の切断技術により個々の装置10が得られる。
この発明は上記実施形態の例には限られず、この発明の範疇において、多くの変形、変更が当業者にとって可能である。例えば、異なる形状且つ又は異なる寸法の装置が製造されてもよい。Si基板の代わりにガラス、セラミック又は合成樹脂の基板が用いられてもよい。半導体本体は、所謂、SOI(シリコン・オン・インシュレータ)により形成されてもよい。この目的のために、所謂、基板転送技術が用いられても、用いられなくてもよい。
さらに、例で述べた材料以外の材料もこの発明の範疇において用いられてもよいことに留意されたい。例えば、コバルトの代わりにニッケル、チタニウム等の他の金属が用いられてもよい。シリコンを含むゲート電極の代わりに金属ゲート電極が効果的に用いられてもよい。上記又は他の材料のために、エピタキシー、CVD、スパッタリング、蒸着等の別の堆積技術を用いることも可能である。湿式化学エッチング方法の代わりに、プラズマエッチング等の「乾式」技術が用いられてもよく、逆もしかりである。さらに、この装置は、ICの形態であるかに関わらず他の能動及び受動半導体素子又は電子部品を備えてもよいことに留意されたい。
この発明による半導体装置の厚み方向に対して直角な概略横断面図である。 既知の半導体装置の厚み方向に対して直角な概略横断面図である。 この発明による方法の実施形態を用いた製造工程における半導体装置の厚み方向に対して直角な概略横断面図である。 この発明による方法の実施形態を用いた製造工程における半導体装置の厚み方向に対して直角な概略横断面図である。 この発明による方法の実施形態を用いた製造工程における半導体装置の厚み方向に対して直角な概略横断面図である。 この発明による方法の実施形態を用いた製造工程における半導体装置の厚み方向に対して直角な概略横断面図である。

Claims (10)

  1. 基板とシリコンの半導体本体とを有する半導体装置であって、前記半導体本体が電界効果型トランジスタを備え、該電界効果型トランジスタが、前記半導体本体の表面と境界を成し且つ低濃度ドープの薄いソース領域拡張部に接続されたソース領域と、前記半導体本体の表面と境界を成し且つ低濃度ドープの薄いドレイン領域拡張部に接続されたドレイン領域とを有し、前記ソース及びドレイン領域及び前記ソース及びドレイン拡張部は第一の導電型であり、そして、前記ソース及びドレイン領域と前記ソース及びドレイン拡張部との間に位置するチャネル領域を有し、前記チャネル領域は前記第一の導電型と反対の第二の導電型であり、そして、誘電体により前記チャネル領域から分離されたゲート電極を有し、前記ソース領域及び前記ドレイン領域に金属シリサイドを含む接続領域が設けられている、半導体装置であって、
    前記ソース領域と前記ソース領域拡張部と、そして、前記ドレイン領域と前記ドレイン領域拡張部とは各々の場合において前記第一の導電型の中間領域により互いに接続され、前記中間領域の厚み及びドーピング濃度の範囲は、前記中間領域により互いに接続された前記ソース及びドレイン領域と前記ソース及びドレイン領域拡張部との厚み及びドーピング濃度の間にあることを特徴とする半導体装置。
  2. 前記接続領域は前記半導体本体内の凹所となっていることを特徴とする請求項1に記載の半導体装置。
  3. 前記ゲート電極のいずれかの側において、電気的絶縁材料のスペーサが前記半導体本体上に位置し、拡大して見ると、前記中間領域及び前記対応拡張部がこれらスペーサの下部に位置していることを特徴とする請求項1又は2に記載の半導体装置。
  4. 前記中間領域はイオン注入により形成されることを特徴とする請求項1、2又は3に記載の半導体装置。
  5. 基板とシリコンの半導体本体とを有する半導体装置であって、前記半導体本体が電界効果型トランジスタを備え、低濃度ドープの薄いソース領域拡張部に接続されたソース領域と低濃度ドープの薄いドレイン領域拡張部に接続されたドレイン領域とが前記半導体本体の表面上に形成され、前記ソース及びドレイン領域及び前記ソース及びドレイン拡張部は第一の導電型であり、前記ソース及びドレイン領域と前記ソース及びドレイン拡張部との間に、前記第一の導電型と反対の第二の導電型のチャネル領域が形成され、該チャネル領域には誘電領域が設けられ、該誘電領域上にゲート電極が形成され、前記ソース領域及び前記ドレイン領域には金属シリサイドを含む接続領域が設けられる半導体装置の製造方法であって、
    前記ソース領域と前記ソース領域拡張部との間に、そして、前記ドレイン領域と前記ドレイン領域拡張部との間に各々前記第一の導電型の中間領域が形成され、前記中間領域の厚み及びドーピング濃度の範囲は、前記中間領域により互いに接続された前記ソース及びドレイン領域と前記ソース及びドレイン領域拡張部との厚み及びドーピング濃度の間にあることを特徴とする半導体装置の製造方法。
  6. 前記半導体本体上に金属を設け、そして、この金属を前記半導体本体のシリコンと反応させて前記接続領域の前記金属シリサイドを形成することにより前記金属シリサイドが形成されることを特徴とする請求項5に記載の方法。
  7. 前記ゲート電極のいずれかの側において電気的絶縁材料のスペーサが形成され、前記第一の導電型のドーピング要素のイオン注入により前記中間領域が形成され、前記イオン注入は前記半導体本体内の前記表面の法線に対し鋭角に行われることを特徴とする請求項5又は6に記載の方法。
  8. 前記イオン注入は、0度と45度と、そして、好ましくは、20度と40度との間から選ばれた角度で行われることを特徴とする請求項7に記載の方法。
  9. 前記イオン注入は、0.5と10keVのエネルギと5x1013at/cmと5x1014at/cmとの間の磁束とで行われることを特徴とする請求項7又は8に記載の方法。
  10. 前記ソース領域及び前記ドレイン領域もイオン注入により形成され、前記中間領域が前記ソース領域及び前記ドレイン領域の形成直前又は直後に形成され、そして、これらの領域はすべて同じ熱処理で緩和されることを特徴とする請求項7、8又は9に記載の方法。
JP2006534878A 2003-10-17 2004-10-07 半導体装置とこの種の半導体装置の製造方法 Withdrawn JP2007508705A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP03103857 2003-10-17
PCT/IB2004/052021 WO2005038900A1 (en) 2003-10-17 2004-10-07 Semiconductor device and method of manufacturing such a semiconductor device

Publications (1)

Publication Number Publication Date
JP2007508705A true JP2007508705A (ja) 2007-04-05

Family

ID=34443036

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006534878A Withdrawn JP2007508705A (ja) 2003-10-17 2004-10-07 半導体装置とこの種の半導体装置の製造方法

Country Status (5)

Country Link
US (1) US20070082450A1 (ja)
EP (1) EP1678750A1 (ja)
JP (1) JP2007508705A (ja)
CN (1) CN1868046B (ja)
WO (1) WO2005038900A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100653995B1 (ko) * 2005-03-17 2006-12-05 주식회사 하이닉스반도체 반도체소자 제조를 위한 국부적 임플란트 방법
US8298886B2 (en) * 2010-02-08 2012-10-30 Semiconductor Components Industries, Llc Electronic device including doped regions between channel and drain regions and a process of forming the same
CN102110717B (zh) * 2011-01-26 2013-01-02 成都瑞芯电子有限公司 沟槽式金属氧化物半导体场效应晶体管及其制造方法
CN103579078A (zh) * 2012-07-31 2014-02-12 上海华虹Nec电子有限公司 抑制浅沟槽隔离工艺中反向窄沟道效应的方法
US9640645B2 (en) * 2013-09-05 2017-05-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with silicide
CN108962979B (zh) * 2018-09-12 2024-01-02 长江存储科技有限责任公司 高压器件与半导体器件

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
FR2654258A1 (fr) * 1989-11-03 1991-05-10 Philips Nv Procede pour fabriquer un dispositif a transistor mis ayant une electrode de grille en forme de "t" inverse.
US5162884A (en) * 1991-03-27 1992-11-10 Sgs-Thomson Microelectronics, Inc. Insulated gate field-effect transistor with gate-drain overlap and method of making the same
US5686324A (en) * 1996-03-28 1997-11-11 Mosel Vitelic, Inc. Process for forming LDD CMOS using large-tilt-angle ion implantation
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5869866A (en) * 1996-12-06 1999-02-09 Advanced Micro Devices, Inc. Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions
US5793089A (en) * 1997-01-10 1998-08-11 Advanced Micro Devices, Inc. Graded MOS transistor junction formed by aligning a sequence of implants to a selectively removable polysilicon sidewall space and oxide thermally grown thereon
US5913124A (en) * 1997-05-24 1999-06-15 United Microelectronics Corporation Method of making a self-aligned silicide
US5970353A (en) * 1998-03-30 1999-10-19 Advanced Micro Devices, Inc. Reduced channel length lightly doped drain transistor using a sub-amorphous large tilt angle implant to provide enhanced lateral diffusion
US6225176B1 (en) * 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
JP2001068669A (ja) * 1999-08-30 2001-03-16 Sony Corp 半導体装置の製造方法
US6284630B1 (en) * 1999-10-20 2001-09-04 Advanced Micro Devices, Inc. Method for fabrication of abrupt drain and source extensions for a field effect transistor
KR100327347B1 (en) * 2000-07-22 2002-03-06 Samsung Electronics Co Ltd Metal oxide semiconductor field effect transistor having reduced resistance between source and drain and fabricating method thereof
US6406964B1 (en) * 2000-11-01 2002-06-18 Advanced Micro Devices, Inc. Method of controlling junction recesses in a semiconductor device

Also Published As

Publication number Publication date
US20070082450A1 (en) 2007-04-12
WO2005038900A1 (en) 2005-04-28
EP1678750A1 (en) 2006-07-12
CN1868046B (zh) 2011-12-28
CN1868046A (zh) 2006-11-22

Similar Documents

Publication Publication Date Title
JP5079687B2 (ja) Soiデバイスの製造方法
US7892900B2 (en) Integrated circuit system employing sacrificial spacers
KR20020003028A (ko) 절연층상의 실리콘 금속 산화물 전계 효과 트랜지스터의제조 방법
JP2018006414A (ja) 半導体装置およびその製造方法
US9450073B2 (en) SOI transistor having drain and source regions of reduced length and a stressed dielectric material adjacent thereto
KR100592740B1 (ko) 쇼트키 장벽 관통 단전자 트랜지스터 및 그 제조방법
KR100568077B1 (ko) 반도체장치의 제조방법
KR100414735B1 (ko) 반도체소자 및 그 형성 방법
CN111370306A (zh) 晶体管的制作方法及全包围栅极器件结构
US7883960B2 (en) Method of manufacturing semiconductor device
JP2009055027A (ja) Mosトランジスタの製造方法、および、これにより製造されたmosトランジスタ
US20090096023A1 (en) Method for manufacturing semiconductor device
JP2007508705A (ja) 半導体装置とこの種の半導体装置の製造方法
US6281086B1 (en) Semiconductor device having a low resistance gate conductor and method of fabrication the same
US6638829B1 (en) Semiconductor structure having a metal gate electrode and elevated salicided source/drain regions and a method for manufacture
KR100642649B1 (ko) 웰 바이어스 전압을 인가할 수 있는 반도체 소자 및 그제조방법
KR101180976B1 (ko) 축소된 게이트 공핍을 갖는 도핑된 게이트 전극을 구비한전계 효과 트랜지스터와 이 트랜지스터의 형성방법
US6521517B1 (en) Method of fabricating a gate electrode using a second conductive layer as a mask in the formation of an insulating layer by oxidation of a first conductive layer
JP2004158697A (ja) 半導体装置及びその製造方法
KR100898257B1 (ko) 반도체 소자의 제조방법
JPH02288341A (ja) Mis型半導体装置
KR100591121B1 (ko) 반도체 장치 및 그의 제조 방법
KR100459930B1 (ko) 부분적으로 셀프 얼라인 된 살리사이드 콘택 형성 방법
JPH10261795A (ja) 絶縁ゲート型電界効果トランジスタ及びその製造方法
KR100439191B1 (ko) 살리사이드 콘택 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071005

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20080619

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20091008