JP2006250651A - テストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体 - Google Patents

テストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体 Download PDF

Info

Publication number
JP2006250651A
JP2006250651A JP2005066232A JP2005066232A JP2006250651A JP 2006250651 A JP2006250651 A JP 2006250651A JP 2005066232 A JP2005066232 A JP 2005066232A JP 2005066232 A JP2005066232 A JP 2005066232A JP 2006250651 A JP2006250651 A JP 2006250651A
Authority
JP
Japan
Prior art keywords
test target
path
test
target path
pattern generation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2005066232A
Other languages
English (en)
Inventor
Naoko Karasawa
直子 唐沢
Hideaki Konishi
秀明 小西
Hiroko Kato
裕子 加藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2005066232A priority Critical patent/JP2006250651A/ja
Priority to US11/214,849 priority patent/US20060206772A1/en
Publication of JP2006250651A publication Critical patent/JP2006250651A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31903Tester hardware, i.e. output processing circuits tester configuration
    • G01R31/31907Modular tester, e.g. controlling and coordinating instruments in a bus based architecture

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】TATの短縮化およびトランジションディレイ試験の検出率の向上を図ること。
【解決手段】テストパターン生成支援装置310では、取得部311がテスト対象回路200の接続情報301およびテスト対象外パスが取得された場合、検出部312はテスト対象回路200を構成する全FF間のパスを検出し、テスト対象外パスリスト400を作成する。テスト対象パス抽出部313はテスト対象パスを抽出し、テスト対象パスリスト500を作成する。探索部314は探索結果リスト600を作成する。テスト対象外セル抽出部315はテスト対象外セルを抽出し、抽出されたテスト対象外セルを含むテスト対象外パスの終了フラグを「0」から「1」に変更する。すべてのテスト対象外パスリスト400の終了フラグが「1」に変更された場合、修正部316は、テスト対象外セルのデータピンにダミーバッファを挿入するように、接続情報301を修正する。
【選択図】 図3

Description

この発明は、テストパターンの生成を支援するテストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体に関する。
従来から、ディレイテストの一種であるトランジションディレイ試験では、セルの入出力に立ち上がり遅延や立ち下がり遅延を仮定し、それらの故障を検出するテストパターンを生成する。トランジションディレイ試験のテストパターン生成前に、STA(Static Timing Analysis)において、テストモードにおけるタイミング検証をおこない、エラーがないことを確認する。トランジションディレイ試験ではATPG(Auto Test pattern Generator)が自動的に故障を伝播するパスを決定するため、同期クロック間のタイミングをSTAで検証し、ATPGがどのパスを活性化しても問題がないようにする必要がある。
また、タイミング制約にマルチサイクルパス、フォールスパスが存在する場合には、それらをテスト対象外にするための設定が必要である。このフォールスパスとは、テスト対象回路において、STAでのSetup/Holdチェックの対象外となるパスである。ATPGは、STAでSetup/Holdチェックをおこなったエラーがないパスを扱うため、フォールスパスはATPGの対象外となる。
また、マルチサイクルパスはクロック周期が2倍以上のパスである。ATPGでは同期クロック間のパスが対象となるので、マルチサイクルパスは対象外となる。フォールスパスのSDC(Synopsys design Constraints)形式で設定された記述の一例を下記に示す。
set_false_path-from CLOCK_NAME_OR_CONCAT_PIN_LIST
-through CONCAT_PIN_LIST
-to CLOCK_NAME_OR_CONCAT_PIN_LIST
・「-from」で、タイミング解析対象外となるパスの始点が指定される。
・「-through」で、タイミング解析対象外となるパスが必ず通過するピン名を指定される。
・「-to」で、タイミング解析対象外となるパスの終点が指定される。
従来においては、このSDC形式の記述から、人手によってテスト対象外パスを指定する指定ファイルを作成していた。通常は、「-to」で指定されたFFセルのデータピンに接続するセルを指定していた。
また、従来では、STAのタイミング制約情報からクロック設定情報を取り出し、トランジションテストパターンのタイミング情報を設定していた。SDC形式で設定されたテストクロック情報の記述の一例を下記に記す。
create_clock -period 周期 -waveform [rise fall] クロック端子名
STAにおいてエラーが発生した場合、タイミングエラーがなくなるまで、タイミングを調整する。但し、どうしてもタイミングを調整できない場合は、そのパスをテスト対象外としてATPGを実行することが可能である。その場合、STAの結果リストから収束できなかったタイミングエラー情報を取り出して、トランジションディレイ試験のテスト対象外にしていた。なお、従来からSTAの情報をATPGに入力する発明としては、下記特許文献1〜4の発明が提案されている。
特開2004−013720号公報 特開2003−141206号公報 特開2001−297125号公報 特開2003−157297号公報
しかしながら、上述した従来技術では、人手によってテスト対象外セルを指定していたため、作成ミスなどにより手戻りが発生し、TAT(Turn Around Time)が増加してしまうという問題があった。また、タイミング制約情報のみでテスト対象外パスを決定すると、トランジションディレイ試験の検出率が低下してしまうという問題があった。この検出率の低下について具体的に説明する。図11は、試験対象回路を示す回路図である。図11においては、下記に示す2本のパスPa、Pbが存在する。
・パスPa:FF1101−セル1110−FF1102
・パスPb:FF1101−セル1110−FF1103
パスPaのみがフォールスパスの場合、セル1110をテスト対象外のセルにすると、パスPbもテスト対象外のパスになってしまうこととなり、トランジションディレイ試験の検出率が低下することとなる。
この発明は、上述した従来技術による問題点を解消するため、TATの短縮化およびトランジションディレイ試験の検出率の向上を図ることができるテストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体を提供することを目的とする。
上述した課題を解決し、目的を達成するため、この発明にかかるテストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体は、テスト対象回路に関する接続情報と、テスト対象外パスとを取得し、取得された接続情報に基づいて、前記テスト対象回路内のFF間のすべてのパスを検出し、取得されたテスト対象外パスに基づいて、検出されたパスの中から、テスト対象パスを抽出し、前記テスト対象外パスを構成するセルと、抽出されたテスト対象パスを構成するセルとに基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出することを特徴とする。
また、上記発明において、前記テスト対象外パスは、前記テスト対象回路のタイミング解析によってタイミング収束されなかったタイミング収束違反パスを含むこととしてもよい。
また、上記発明において、前記テスト対象回路内の各セルが前記テスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索し、探索された探索結果に基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出することとしてもよい。
また、上記発明において、前記テスト対象外セル抽出手段によって抽出されたテスト対象外セルの前段にダミーバッファを挿入するように、前記接続情報を修正することとしてもよい。
これらの発明によれば、テスト対象外パスであると誤って検出されるポイント、すなわち、テスト対象外セルの前段(データピン)を自動的に検出することができるため、当該ポイントにダミーバッファを挿入することで、テスト対象パスが誤ってテスト対象外パスになることを防止することができる。
本発明にかかるテストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体によれば、TATの短縮化およびトランジションディレイ試験の検出率の向上を図ることができるという効果を奏する。
以下に添付図面を参照して、この発明にかかるテストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体の好適な実施の形態を詳細に説明する。
(テストパターン生成支援装置のハードウェア構成)
まず、この発明の実施の形態にかかるテストパターン生成支援装置のハードウェア構成について説明する。図1は、この発明の実施の形態にかかるテストパターン生成支援装置のハードウェア構成を示すブロック図である。
図1において、テストパターン生成支援装置は、CPU101と、ROM102と、RAM103と、HDD(ハードディスクドライブ)104と、HD(ハードディスク)105と、FDD(フレキシブルディスクドライブ)106と、着脱可能な記録媒体の一例としてのFD(フレキシブルディスク)107と、ディスプレイ108と、I/F(インターフェース)109と、キーボード110と、マウス111と、スキャナ112と、プリンタ113と、を備えている。また、各構成部はバス100によってそれぞれ接続されている。
ここで、CPU101は、テストパターン生成支援装置の全体の制御を司る。ROM102は、ブートプログラムなどのプログラムを記憶している。RAM103は、CPU101のワークエリアとして使用される。HDD104は、CPU101の制御にしたがってHD105に対するデータのリード/ライトを制御する。HD105は、HDD104の制御で書き込まれたデータを記憶する。
FDD106は、CPU101の制御にしたがってFD107に対するデータのリード/ライトを制御する。FD107は、FDD106の制御で書き込まれたデータを記憶したり、FD107に記憶されたデータをテストパターン生成支援装置に読み取らせたりする。
また、着脱可能な記録媒体として、FD107のほか、CD−ROM(CD−R、CD−RW)、MO、DVD(Digital Versatile Disk)、メモリーカードなどであってもよい。ディスプレイ108は、カーソル、アイコンあるいはツールボックスをはじめ、文書、画像、機能情報などのデータを表示する。このディスプレイ108は、たとえば、CRT、TFT液晶ディスプレイ、プラズマディスプレイなどを採用することができる。
I/F109は、通信回線を通じてインターネットなどのネットワーク114に接続され、このネットワーク114を介して他の装置に接続される。そして、I/F109は、ネットワーク114と内部のインターフェースを司り、外部装置からのデータの入出力を制御する。I/F109には、たとえばモデムやLANアダプタなどを採用することができる。
キーボード110は、文字、数字、各種指示などの入力のためのキーを備え、データの入力をおこなう。また、タッチパネル式の入力パッドやテンキーなどであってもよい。マウス111は、カーソルの移動や範囲選択、あるいはウィンドウの移動やサイズの変更などをおこなう。ポインティングデバイスとして同様に機能を備えるものであれば、トラックボールやジョイスティックなどであってもよい。
スキャナ112は、画像を光学的に読み取り、テストパターン生成支援装置内に画像データを取り込む。なお、スキャナ112は、OCR機能を持たせてもよい。また、プリンタ113は、画像データや文書データを印刷する。プリンタ113には、たとえば、レーザプリンタやインクジェットプリンタを採用することができる。
(テスト対象回路の回路構成)
つぎに、テスト対象回路の回路構成について説明する。図2は、テスト対象回路の回路構成の一例を示す回路図である。図2において、テスト対象回路200は、複数のセル、すなわち、FFセル(FF1〜FF8)と、組み合わせセルA〜Gとが接続されて構成されている。なお、このテスト対象回路200のFF1〜FF8は、すべて同じ外部クロックで制御されているものとする。
(テストパターン生成支援装置の機能的構成)
つぎに、テストパターン生成支援装置の機能的構成について説明する。図3は、テストパターン生成支援装置の機能的構成を示すブロック図である。図3において、符号301は、図2に示したテスト対象回路200の接続情報(ネットリスト)である。符号302は、テスト対象回路200におけるテストクロックのタイミング、端子、周波数に関する情報からなるテストクロック情報である。
符号303は、SDC形式で設定されたテスト対象回路200のシステム動作用タイミング制約情報である。システム動作用タイミング制約情報303には、テスト対象回路200のマルチサイクルパス、フォールスパスが含まれる。符号304は、テスト対象回路200におけるテスト端子、テストクロック、ドメイン境界を規定したDFT情報である。
また、テスト動作用タイミング制約情報作成部305は、テストクロック情報302、システム動作タイミング制約情報303およびDFT情報304から、システム動作用タイミング制約情報303内のパスを駆動するテスト動作時のクロックを判定する。そして、システム動作時と同一でなければそのパスを無効にする処理をおこなって、テスト動作用タイミング制約情報306を作成する。テスト動作用タイミング制約情報306にも、テスト対象回路200のマルチサイクルパス、フォールスパスが含まれる。
タイミング解析部307は、いわゆるSTAであり、システム動作用タイミング制約情報303とテスト動作用タイミング制約情報306とが同一の場合には、システム動作用タイミング制約情報303を、テストパターン生成支援装置310に出力する。一方、システム動作用タイミング制約情報303とテスト動作用タイミング制約情報306とが異なる場合には、テスト動作用タイミング制約情報306を、テストパターン生成支援装置310に出力する。また、タイミング解析部307のよる解析後、レイアウト修正によってもタイミングエラーが収束できないときは、タイミング収束違反パス308を、テストパターン生成支援装置310に出力する。
また、テストパターン生成支援装置310は、取得部311と、検出部312と、テスト対象パス抽出部313と、探索部314と、テスト対象外セル抽出部315と、修正部316と、を備えている。まず、取得部311は、テスト対象回路200に関する接続情報301と、テスト対象外パスとを取得する。ここで、テスト対象外パスとは、タイミング制約情報303(または306)またはタイミング収束違反パス308である。このテスト対象回路200におけるタイミング制約情報303(または306)の一例を以下のa〜dに示す。
a) set_false_path -from FF2/Q -to FF4/D
b) set_false_path -from FF3/Q -through E -to FF6/D
c) set_false_path -from FF3/Q -through E -to FF7/D
d) set_multicycle_path 2 -from FF1/Q -to FF3/D
また、テスト対象回路200におけるタイミング収束ができなかったタイミング収束違反パス308の一例を以下のeに示す。
e) FF5/Q _ FF8/D
このa〜eは、図2の点線矢印の符号に対応している。そして、取得部311において取得されたテスト対象外パスa〜eからテスト対象パスリストを作成する。図4は、テスト対象外パスリストを示す図表である。図4において、テスト対象外パスリスト400では、当該テスト対象外パスa〜eごとに、当該パスを構成するセルを列挙している。また、この段階では、すべてのテスト対象外パスa〜eの終了フラグは「0」に設定しておく。
図3において、検出部312は、取得部311によって取得された接続情報301に基づいて、テスト対象回路200内のFF間のすべてのパスを検出する。このテスト対象回路200の全FF間のパスは以下のとおりである。
パス1:FF1−A−B−FF3
パス2:FF2−C−B−FF3
パス3:FF2−C−D−FF4
パス4:FF2−C−D−FF5
パス5:FF3−F−FF6
パス6:FF3−E−F−FF6
パス7:FF3−F−G−FF7
パス8:FF3−E−F−G−FF7
パス9:FF5−FF8
また、テスト対象パス抽出部313は、取得部311によって取得されたテスト対象外パスa〜eに基づいて、検出部312によって検出されたパス1〜パス9の中から、テスト対象パスを抽出する。具体的には、上述した全FF間のパス1〜パス9から、上述したテスト対象外パスa〜eのパスを除いたパス(パス2、パス3、パス5、パス7)がテスト対象パスとして抽出される。テスト対象パス抽出部313では、抽出したテスト対象パスからテスト対象パスリスト500を作成する。図5は、テスト対象パスリスト500を示す図表である。図5において、テスト対象パスリスト500では、当該テスト対象パス(パス2、パス3、パス5、パス7)ごとに、当該パスを構成するセルを列挙している。
また、図3において、探索部314は、テスト対象回路200内の各セルがテスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索する。具体的には、図4に示したテスト対象外パスリスト400と、図5に示したテスト対象パスリスト500とを参照して、探索処理をおこなう。なお、図4に示したテスト対象外パスリスト400を参照する場合、終了フラグが「0」に設定されているパスのみを参照する。すなわち、探索部314は、この終了フラグがすべて「1」に変更されるまで探索処理を実行する。
この探索処理を具体的に説明する。図6は、探索部314による探索結果リストを示す図表である。図6に示した探索結果リスト600において、テスト対象回路200のセルごとに、それぞれテスト対象外パスa〜eに含まれている数(以下、「対象外パラメータF」と称す。)およびテスト対象パス(パス2、パス3、パス5、パス7)(以下、「対象パラメータR」と称す。)に含まれている数が示されている。
また、テスト対象回路200のセルごとに、対象外パラメータFから、対象パラメータRを引いた減算値(F−R)も算出されている。この減算値が「0」より大きい場合には、テスト対象パスよりもテスト対象外パスに含まれている数が大きく、「0」よりも小さい場合には、テスト対象パスよりもテスト対象外パスに含まれている数が小さい。
たとえば、FF2は、図4に示した図表を参照すると、パスbにのみ、すなわち1本のテスト対象外パスに含まれているため、対象外パラメータは「1」である。同様に、図5に示した図表を参照すると、FF2は、パス2およびパス3の2本のテスト対象パスに含まれているため、対象パラメータは「2」である。また、減算値(F−R)は、「−1」である。
また、図3において、テスト対象外セル抽出部315は、テスト対象外パスa〜eを構成するセル(図4を参照)と、テスト対象パス抽出部313によって抽出されたテスト対象パス(パス2、パス3、パス5、パス7)を構成するセル(図5を参照)とに基づいて、テスト対象回路200を構成するセルの中から、テスト対象外セルを抽出する。具体的には、図6に示したように、セルごとの対象外パラメータFと対象パラメータRとの減算値(F−R)の中から、最も減算値が大きいセルを、テスト対象外セルとして抽出する。減算値(F−R)が同じ値のセルが複数ある場合の選択基準は以下である。対象パラメータが小さいほうを選択する。組み合わせセル(A〜G)の対象パラメータとFFセルの対象パラメータの値が同じである場合、組み合わせセル(A〜G)を選ぶ。図6に示した図表においては、セルEをテスト対象外セルとして抽出する。
また、テスト対象外セル抽出部315は、テスト対象外セルを抽出すると、抽出されたテスト対象外セルを含むテスト対象外パスの終了フラグ(図4を参照)を「1」に変更する。この場合、テスト対象外セルとしてセルEが抽出されたため、図4において、セルEを含むテスト対象外パスc,dの終了フラグを「1」に変更する。図7は、終了フラグ変更後におけるテスト対象外パスリスト400を示す図表である。
このあと、探索部314では、図7に示した終了フラグ変更後のテスト対象外パスリスト400を参照して、探索処理をおこなう。図7に示したように、終了フラグが「1」に変更されたパスc、dは参照することができないため、図6に示した対象外パラメータF、対象パラメータR、および減算値(F−R)の値も変更する。
図8は、探索部314による、図7に示した終了フラグ変更後における探索結果リストを示す図表である。図8に示した探索結果リスト600において、網掛けで示された箇所が変更箇所である。そして、テスト対象外セル抽出部315は、図8に示した減算値の中で最も大きい減算値のセルを、テスト対象外セルとして抽出する。この場合、減算値が「1」であるFF1、FF4、FF8、セルAがテスト対象外セルの候補になる。前記の選択基準によりセルAを、テスト対象外セルとして抽出する。
このあと、上述したように、テスト対象外セル抽出部315は、セルAを含むテスト対象外パスの終了フラグ(図7を参照)を「1」に変更する。すべての終了フラグが「1」になるまで探索部314およびテスト対象外セル抽出部315による処理を繰り返す。最終的に得られたテスト対象外セルは、セルE、セルA、FF4、FF8となる。
また、図3において、修正部316は、テスト対象外セル抽出部315によって抽出されたテスト対象外セル(セルE、セルA、FF4、FF8)の前段にダミーバッファを挿入するように、接続情報301を修正する。具体的には、テスト対象外セル(セルE、セルA、FF4、FF8)のうち、FFであるFF4およびFF8の入力端子であるデータピンにダミーバッファを接続する。
図9は、テスト対象回路200にダミーバッファを挿入した状態を示す回路図である。図9において、ダミーバッファHは、FF4のデータピンに接続され、ダミーバッファIは、FF8のデータピンに接続される。また、図3において、テストパターン生成部320は、修正部316によって修正されたテスト対象回路200の接続情報301を入力することによってテストパターン321を生成する。
なお、上述したテスト動作用タイミング制約情報作成部305、タイミング解析部307、テストパターン生成支援装置310内の各構成311〜316、およびテストパターン生成部320は、具体的には、たとえば、図1に示したROM102、RAM103、HD105などの記録媒体に記録されたプログラムを、CPU101が実行することによって、またはI/F109によって、その機能を実現する。
(テストパターン生成支援処理手順)
つぎに、この発明の実施の形態にかかるテストパターン生成支援処理手順について説明する。図10は、この発明の実施の形態にかかるテストパターン生成支援処理手順を示すフローチャートである。図10において、まず、取得部311により、テスト対象回路200の接続情報301およびテスト対象外パスa〜eが取得された場合(ステップS1001:Yes)、検出部312により、テスト対象回路200を構成する全FF間のパス(パス1〜パス9)を検出する(ステップS1002)。そして、図4に示したテスト対象外パスリスト400を作成する(ステップS1003)。
つぎに、テスト対象パス抽出部313により、テスト対象パス(パス2、パス3、パス5、パス7)を抽出し(ステップS1004)、図5に示したテスト対象パスリスト500を作成する(ステップS1005)。つぎに、探索部314による探索処理により、図6に示した探索結果リスト600を作成して、テスト対象回路200を構成するセルごとに、対象外パラメータF、対象パラメータR、および減算値(F−R)を設定する(ステップS1006)。
つぎに、テスト対象外セル抽出部315により、テスト対象外セルを抽出し(ステップS1007)、抽出されたテスト対象外セルを含むテスト対象外パスの終了フラグを「0」から「1」に変更する(ステップS1008)。そして、すべてのテスト対象外パスリスト400の終了フラグが「1」に変更されていない場合(ステップS1009:No)、ステップS1006に移行して、探索処理を実行する。この場合、終了フラグ「1」のテスト対象外パスは参照しないため、図8に示したように、対象外パラメータF、対象パラメータR、および減算値(F−R)が、再設定される。
また、すべてのテスト対象外パスリスト400の終了フラグが「1」に変更された場合(ステップS1009:Yes)、抽出されたテスト対象外セルにFFが含まれているか否かを判定する(ステップS1010)。FFが含まれている場合(ステップS1010:Yes)、当該FFのデータピンにダミーバッファを挿入するように、接続情報301を修正して(ステップS1011)、一連の処理を終了する。一方、FFが含まれていない場合(ステップS1010:No)、一連の処理を終了する。
このように、この発明の実施の形態にかかるテストパターン生成支援装置310によれば、テスト対象外パスであると誤って検出されるポイント、すなわち、テスト対象外セルのデータピンを自動的に検出することができるため、当該ポイントにダミーバッファを挿入することで、テスト対象パスが誤ってテスト対象外パスになることを防止することができる。したがって、トランジションディレイ試験の検出率の向上を図ることができる。
以上説明したように、テストパターン生成支援装置310、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体によれば、TATの短縮化およびトランジションディレイ試験の検出率の向上を図ることができる。これにより、テストパターン生成部320(ATPG)に対し高精度なテスト対象外パスを与えることができ、生成されるテストパターンの信頼性の向上を図ることができる。
なお、本実施の形態で説明したテストパターン生成支援方法は、予め用意されたプログラムをパーソナル・コンピュータやワークステーション等のコンピュータで実行することにより実現することができる。このプログラムは、ハードディスク、フレキシブルディスク、CD−ROM、MO、DVD等のコンピュータで読み取り可能な記録媒体に記録され、コンピュータによって記録媒体から読み出されることによって実行される。またこのプログラムは、インターネット等のネットワークを介して配布することが可能な伝送媒体であってもよい。
(付記1)テスト対象回路に関する接続情報と、テスト対象外パスとを取得する取得手段と、
前記取得手段によって取得された接続情報に基づいて、前記テスト対象回路内のFF間のすべてのパスを検出する検出手段と、
前記取得手段によって取得されたテスト対象外パスに基づいて、前記検出手段によって検出されたパスの中から、テスト対象パスを抽出するテスト対象パス抽出手段と、
前記テスト対象外パスを構成するセルと、前記テスト対象パス抽出手段によって抽出されたテスト対象パスを構成するセルとに基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出するテスト対象外セル抽出手段と、
を備えることを特徴とするテストパターン生成支援装置。
(付記2)前記テスト対象外パスは、前記テスト対象回路のタイミング解析によってタイミング収束されなかったタイミング収束違反パスを含むことを特徴とする付記1に記載のテストパターン生成支援装置。
(付記3)前記テスト対象回路内の各セルが前記テスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索する探索手段を備え、
前記テスト対象外セル抽出手段は、
前記探索手段によって探索された探索結果に基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出することを特徴とする付記1または2に記載のテストパターン生成支援装置。
(付記4)前記テスト対象外セル抽出手段によって抽出されたテスト対象外セルの前段にダミーバッファを挿入するように、前記接続情報を修正する修正手段を備えることを特徴とする付記1〜3のいずれか一つに記載のテストパターン生成支援装置。
(付記5)テスト対象回路に関する接続情報と、テスト対象外パスとを取得する取得工程と、
前記取得工程によって取得された接続情報に基づいて、前記テスト対象回路内のFF間のすべてのパスを検出する検出工程と、
前記取得工程によって取得されたテスト対象外パスに基づいて、前記検出工程によって検出されたパスの中から、テスト対象パスを抽出するテスト対象パス抽出工程と、
前記テスト対象外パスを構成するセルと、前記テスト対象パス抽出工程によって抽出されたテスト対象パスを構成するセルとに基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出するテスト対象外セル抽出工程と、
を含んだことを特徴とするテストパターン生成支援方法。
(付記6)前記テスト対象外パスは、前記テスト対象回路のタイミング解析によってタイミング収束されなかったタイミング収束違反パスを含むことを特徴とする付記5に記載のテストパターン生成支援方法。
(付記7)前記テスト対象回路内の各セルが前記テスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索する探索工程を含み、
前記テスト対象外セル抽出工程は、
前記探索工程によって探索された探索結果に基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出することを特徴とする付記5または6に記載のテストパターン生成支援方法。
(付記8)前記テスト対象外セル抽出工程によって抽出されたテスト対象外セルの前段にダミーバッファを挿入するように、前記接続情報を修正する修正工程を含んだことを特徴とする付記5〜7のいずれか一つに記載のテストパターン生成支援方法。
(付記9)テスト対象回路に関する接続情報と、テスト対象外パスとを取得させる取得工程と、
前記取得工程によって取得された接続情報に基づいて、前記テスト対象回路内のFF間のすべてのパスを検出させる検出工程と、
前記取得工程によって取得されたテスト対象外パスに基づいて、前記検出工程によって検出されたパスの中から、テスト対象パスを抽出させるテスト対象パス抽出工程と、
前記テスト対象外パスを構成するセルと、前記テスト対象パス抽出工程によって抽出されたテスト対象パスを構成するセルとに基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出させるテスト対象外セル抽出工程と、
をコンピュータに実行させることを特徴とするテストパターン生成支援プログラム。
(付記10)前記テスト対象外パスは、前記テスト対象回路のタイミング解析によってタイミング収束されなかったタイミング収束違反パスを含むことを特徴とする付記9に記載のテストパターン生成支援プログラム。
(付記11)前記テスト対象回路内の各セルが前記テスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索する探索工程をコンピュータに実行させ、
前記テスト対象外セル抽出工程は、
前記探索工程によって探索された探索結果に基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出させることを特徴とする付記9または10に記載のテストパターン生成支援プログラム。
(付記12)前記テスト対象外セル抽出工程によって抽出されたテスト対象外セルの前段にダミーバッファを挿入するように、前記接続情報を修正させる修正工程をコンピュータに実行させることを特徴とする付記9〜11のいずれか一つに記載のテストパターン生成支援プログラム。
(付記13)付記9〜12のいずれか一つに記載のテストパターン生成支援プログラムを記録したコンピュータに読み取り可能な記録媒体。
以上のように、本発明にかかるテストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体は、LSIのテストパターンを生成するATPGに有用である。
この発明の実施の形態にかかるテストパターン生成支援装置のハードウェア構成を示すブロック図である。 テスト対象回路の回路構成の一例を示す回路図である。 テストパターン生成支援装置の機能的構成を示すブロック図である。 テスト対象外パスリストを示す図表である。 テスト対象パスリストを示す図表である。 探索部による探索結果リストを示す図表である。 終了フラグ変更後におけるテスト対象外パスリストを示す図表である。 図7に示した終了フラグ変更後における探索結果リストを示す図表である。 テスト対象回路にダミーバッファを挿入した状態を示す回路図である。 この発明の実施の形態にかかるテストパターン生成支援処理手順を示すフローチャートである。 試験対象回路を示す回路図である。
符号の説明
200 テスト対象回路
301 接続情報
303 システム動作用タイミング制約情報
306 テスト動作用タイミング制約情報
307 タイミング解析部
308 タイミング収束違反パス
310 テストパターン生成支援装置
311 取得部
312 検出部
313 テスト対象パス抽出部
314 探索部
315 テスト対象外セル抽出部
316 修正部
321 テストパターン
400 テスト対象外パスリスト
500 テスト対象パスリスト
600 探索結果リスト

Claims (10)

  1. テスト対象回路に関する接続情報と、テスト対象外パスとを取得する取得手段と、
    前記取得手段によって取得された接続情報に基づいて、前記テスト対象回路内のFF間のすべてのパスを検出する検出手段と、
    前記取得手段によって取得されたテスト対象外パスに基づいて、前記検出手段によって検出されたパスの中から、テスト対象パスを抽出するテスト対象パス抽出手段と、
    前記テスト対象外パスを構成するセルと、前記テスト対象パス抽出手段によって抽出されたテスト対象パスを構成するセルとに基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出するテスト対象外セル抽出手段と、
    を備えることを特徴とするテストパターン生成支援装置。
  2. 前記テスト対象外パスは、前記テスト対象回路のタイミング解析によってタイミング収束されなかったタイミング収束違反パスを含むことを特徴とする請求項1に記載のテストパターン生成支援装置。
  3. 前記テスト対象回路内の各セルが前記テスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索する探索手段を備え、
    前記テスト対象外セル抽出手段は、
    前記探索手段によって探索された探索結果に基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出することを特徴とする請求項1または2に記載のテストパターン生成支援装置。
  4. テスト対象回路に関する接続情報と、テスト対象外パスとを取得する取得工程と、
    前記取得工程によって取得された接続情報に基づいて、前記テスト対象回路内のFF間のすべてのパスを検出する検出工程と、
    前記取得工程によって取得されたテスト対象外パスに基づいて、前記検出工程によって検出されたパスの中から、テスト対象パスを抽出するテスト対象パス抽出工程と、
    前記テスト対象外パスを構成するセルと、前記テスト対象パス抽出工程によって抽出されたテスト対象パスを構成するセルとに基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出するテスト対象外セル抽出工程と、
    を含んだことを特徴とするテストパターン生成支援方法。
  5. 前記テスト対象外パスは、前記テスト対象回路のタイミング解析によってタイミング収束されなかったタイミング収束違反パスを含むことを特徴とする請求項4に記載のテストパターン生成支援方法。
  6. 前記テスト対象回路内の各セルが前記テスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索する探索工程を含み、
    前記テスト対象外セル抽出工程は、
    前記探索工程によって探索された探索結果に基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出することを特徴とする請求項4または5に記載のテストパターン生成支援方法。
  7. テスト対象回路に関する接続情報と、テスト対象外パスとを取得させる取得工程と、
    前記取得工程によって取得された接続情報に基づいて、前記テスト対象回路内のFF間のすべてのパスを検出させる検出工程と、
    前記取得工程によって取得されたテスト対象外パスに基づいて、前記検出工程によって検出されたパスの中から、テスト対象パスを抽出させるテスト対象パス抽出工程と、
    前記テスト対象外パスを構成するセルと、前記テスト対象パス抽出工程によって抽出されたテスト対象パスを構成するセルとに基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出させるテスト対象外セル抽出工程と、
    をコンピュータに実行させることを特徴とするテストパターン生成支援プログラム。
  8. 前記テスト対象外パスは、前記テスト対象回路のタイミング解析によってタイミング収束されなかったタイミング収束違反パスを含むことを特徴とする請求項7に記載のテストパターン生成支援プログラム。
  9. 前記テスト対象回路内の各セルが前記テスト対象外パスおよびテスト対象パスにそれぞれ含まれている数を探索する探索工程をコンピュータに実行させ、
    前記テスト対象外セル抽出工程は、
    前記探索工程によって探索された探索結果に基づいて、前記テスト対象回路を構成するセルの中から、テスト対象外セルを抽出させることを特徴とする請求項7または8に記載のテストパターン生成支援プログラム。
  10. 請求項7〜9のいずれか一つに記載のテストパターン生成支援プログラムを記録したコンピュータに読み取り可能な記録媒体。
JP2005066232A 2005-03-09 2005-03-09 テストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体 Withdrawn JP2006250651A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005066232A JP2006250651A (ja) 2005-03-09 2005-03-09 テストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体
US11/214,849 US20060206772A1 (en) 2005-03-09 2005-08-31 Method and apparatus for supporting test pattern generation, and computer product

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005066232A JP2006250651A (ja) 2005-03-09 2005-03-09 テストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体

Publications (1)

Publication Number Publication Date
JP2006250651A true JP2006250651A (ja) 2006-09-21

Family

ID=36972424

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005066232A Withdrawn JP2006250651A (ja) 2005-03-09 2005-03-09 テストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体

Country Status (2)

Country Link
US (1) US20060206772A1 (ja)
JP (1) JP2006250651A (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10598730B1 (en) * 2018-11-13 2020-03-24 Realtek Semiconductor Corporation Testing method and testing system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4204633A (en) * 1978-11-20 1980-05-27 International Business Machines Corporation Logic chip test system with path oriented decision making test pattern generator
US5748646A (en) * 1996-02-02 1998-05-05 Matsushita Electric Industrial Co., Ltd. Design-for-testability method for path delay faults and test pattern generation method for path delay faults
US6463560B1 (en) * 1999-06-23 2002-10-08 Agere Systems Guardian Corp. Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
JP4789297B2 (ja) * 1999-11-29 2011-10-12 パナソニック株式会社 半導体集積回路のテストパターン生成方法
JP3833984B2 (ja) * 2002-10-28 2006-10-18 株式会社東芝 テストベクタの生成装置、テストベクタの生成方法、半導体集積回路の故障解析装置、およびテストベクタを生成するためのプログラム

Also Published As

Publication number Publication date
US20060206772A1 (en) 2006-09-14

Similar Documents

Publication Publication Date Title
JP5445358B2 (ja) 検証支援プログラム、検証支援装置および検証支援方法
US20070061113A1 (en) Enabling Test Script Play Back in Different Locales
JP2007128429A (ja) 設計支援装置、設計支援方法、設計支援プログラム、および記録媒体
JP4636989B2 (ja) 遅延解析装置、遅延解析方法、遅延解析プログラム、および記録媒体
JP4261502B2 (ja) 検証支援装置、検証支援方法、検証支援プログラム、および記録媒体
US7888971B2 (en) Verification support system and method
JP5287058B2 (ja) 検証支援プログラム、検証支援装置および検証支援方法
US6910166B2 (en) Method of and apparatus for timing verification of LSI test data and computer product
JP2008218923A (ja) 擬似乱数発生器、半導体集積回路、該半導体集積回路の設計データを記録した記録媒体、擬似乱数発生器制御方法、擬似乱数発生器制御プログラム、該擬似乱数発生器制御プログラムを記録した記録媒体、および擬似乱数発生器制御装置
JP5040758B2 (ja) シミュレーション装置、シミュレーション方法及びプログラム
JP4861734B2 (ja) 故障解析プログラム、該プログラムを記録した記録媒体、故障解析方法、および故障解析装置
JP5067317B2 (ja) 検証支援プログラム、検証支援装置、および検証支援方法
JP4370335B2 (ja) Lsi解析プログラム、該プログラムを記録した記録媒体、lsi解析装置、およびlsi解析方法
US7945882B2 (en) Asynchronous circuit logical verification method, logical verification apparatus, and computer readable storage medium
JP2006250651A (ja) テストパターン生成支援装置、テストパターン生成支援方法、テストパターン生成支援プログラム、および記録媒体
US20060041808A1 (en) Test-pattern generation system, test-pattern analysis system, test-pattern generation method, test-pattern analysis method, and computer product
WO2006025412A1 (ja) 論理検証方法、論理モジュールデータ、デバイスデータおよび論理検証装置
JP4275636B2 (ja) 検証支援装置、検証支援方法、検証支援プログラム、および記録媒体
JP2008262337A (ja) 論理等価検証装置、論理等価検証方法、論理等価検証プログラムおよび記録媒体
US7552411B2 (en) LSI analysis method, LSI analysis apparatus, and computer product
JP4450103B2 (ja) スタティックハザード検出装置、スタティックハザード検出方法並びにプログラム
JP2011034517A (ja) 等価性検証装置、そのデータ処理方法、およびプログラム
JP2007257375A (ja) 遅延解析プログラム、記録媒体、遅延解析方法、および遅延解析装置
JP2010271853A (ja) 検証支援プログラム、検証支援装置、および検証支援方法
JP4144540B2 (ja) スキャンパス処理方法、スキャンパス処理プログラム、および記録媒体

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080513