JP2005521235A - 半導体ウエハの処理装置及び方法 - Google Patents
半導体ウエハの処理装置及び方法 Download PDFInfo
- Publication number
- JP2005521235A JP2005521235A JP2003513033A JP2003513033A JP2005521235A JP 2005521235 A JP2005521235 A JP 2005521235A JP 2003513033 A JP2003513033 A JP 2003513033A JP 2003513033 A JP2003513033 A JP 2003513033A JP 2005521235 A JP2005521235 A JP 2005521235A
- Authority
- JP
- Japan
- Prior art keywords
- wafer
- processing
- waveform
- processing means
- dimensions
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 116
- 238000012545 processing Methods 0.000 title claims abstract description 97
- 239000004065 semiconductor Substances 0.000 title claims abstract description 26
- 235000012431 wafers Nutrition 0.000 claims abstract description 216
- 230000008569 process Effects 0.000 claims abstract description 77
- 238000005259 measurement Methods 0.000 claims abstract description 64
- 238000005530 etching Methods 0.000 claims abstract description 44
- 238000004140 cleaning Methods 0.000 claims abstract description 15
- 238000012546 transfer Methods 0.000 claims description 53
- 229920002120 photoresistant polymer Polymers 0.000 claims description 34
- 230000007246 mechanism Effects 0.000 claims description 21
- 238000004891 communication Methods 0.000 claims description 9
- 238000003860 storage Methods 0.000 claims description 9
- 238000003384 imaging method Methods 0.000 claims description 5
- 238000004380 ashing Methods 0.000 claims description 3
- 238000009966 trimming Methods 0.000 claims description 3
- 239000002245 particle Substances 0.000 claims description 2
- 238000007689 inspection Methods 0.000 abstract description 42
- 230000003287 optical effect Effects 0.000 abstract description 15
- 238000000206 photolithography Methods 0.000 abstract description 13
- 238000011109 contamination Methods 0.000 abstract description 2
- 230000008859 change Effects 0.000 description 20
- 238000004519 manufacturing process Methods 0.000 description 14
- 238000013461 design Methods 0.000 description 13
- 238000004458 analytical method Methods 0.000 description 12
- 239000011159 matrix material Substances 0.000 description 8
- 238000010586 diagram Methods 0.000 description 7
- 239000000463 material Substances 0.000 description 7
- 238000012360 testing method Methods 0.000 description 7
- 238000012552 review Methods 0.000 description 6
- 238000004886 process control Methods 0.000 description 5
- 239000002184 metal Substances 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 238000012544 monitoring process Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- 101000611618 Homo sapiens Photoreceptor disk component PRCD Proteins 0.000 description 3
- 102100040826 Photoreceptor disk component PRCD Human genes 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000002310 reflectometry Methods 0.000 description 3
- 238000002167 anodic stripping potentiometry Methods 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 206010003664 atrial septal defect Diseases 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000007620 mathematical function Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- 239000000758 substrate Substances 0.000 description 2
- 125000003821 2-(trimethylsilyl)ethoxymethyl group Chemical group [H]C([H])([H])[Si](C([H])([H])[H])(C([H])([H])[H])C([H])([H])C(OC([H])([H])[*])([H])[H] 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 238000000342 Monte Carlo simulation Methods 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000013507 mapping Methods 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- 239000013307 optical fiber Substances 0.000 description 1
- 238000013386 optimize process Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000003909 pattern recognition Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 238000002834 transmittance Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/7055—Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
- G03F7/70558—Dose control, i.e. achievement of a desired dose
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70641—Focus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/32—Operator till task planning
- G05B2219/32182—If state of tool, product deviates from standard, adjust system, feedback
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/32—Operator till task planning
- G05B2219/32189—Compare between original solid model and measured manufactured object
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45031—Manufacturing semiconductor wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Drying Of Semiconductors (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
CD(臨界寸法)変化を減少するために半導体ウエハを処理する方法及び装置は、検査中に集められた情報を前に行った処理手段へフィードバックし、ウエハが受ける次の処理を調節するために情報をフィードホワードする。検査及び処理は、ウエハを大気の状態に曝すことなく単一の処理モジュールにおいて行なわれる。実施例は、ウエハをセットから取り出し、ウエハ表面上のフィーチャの寸法、例えば光学測定手段を用いてフィーチャのCDを測定する。その後、処理、例えばエッチング処理が、CD測定に基づいて選択された処理パラメータの値の組、例えばエッチングレシピを用いてウエハ上で行なわれ、ウエハはカセットへ戻される。また、CD測定は、ステッパーの焦点及び露光設定のようなホトリソグラフィの調整可能なパラメータにリンクされる。焦点及び露光に関するリンクされた情報は、ステッパーが次のロットのずれを修正するために自動的にあるいはユーザの判断で調整することができるように、前に行ったホトセルへフィードバックされる。ある実施例では、エッチング後の処理、例えばアッシュストリッピング、ウエットクリーニングおよび/または他のCD測定は、きれいな環境内で行われ、これによりウエハを大気に曝すこと及びステップ間での汚染を避けることによって歩留まりを増大する。このフィードバック及びフィードホワードは、ウエハの測定のCDに基づいてウエハごとの処理パラメータを調整することによってCD制御を改善する。
Description
(技術分野)
本発明は、半導体基板上で実行される処理をモニターし、及び制御する方法及び装置に関し、特に、フィーチャのプロセス中における検査の間に集められた情報のフィードバック及びフィードホワードを通して半導体基板上に形成されるフィーチャの臨界寸法(critical dimensions: CDs)を制御する方法及び装置に関する。本発明は、サブミクロンのフィーチャを有する高密度半導体デバイスの製造中に半導体ウエハのインライン検査に特に応用できる。
本発明は、半導体基板上で実行される処理をモニターし、及び制御する方法及び装置に関し、特に、フィーチャのプロセス中における検査の間に集められた情報のフィードバック及びフィードホワードを通して半導体基板上に形成されるフィーチャの臨界寸法(critical dimensions: CDs)を制御する方法及び装置に関する。本発明は、サブミクロンのフィーチャを有する高密度半導体デバイスの製造中に半導体ウエハのインライン検査に特に応用できる。
(背景技術)
高密度化及び超大規模集積化に関連した性能に対する現在の要求は、サブミクロンのフィーチャ、増大したトランジスタ及び回路の速度、及び向上した信頼性を必要とする。これらの要求は、デバイスが依然として半導体ウエハの形であるにも拘わらず、高い精度及び均一性を有するデバイスフィーチャの形成を必要とし、そしてそれらはデバイスの頻繁で詳細な検査を含む注意深いプロセスの監視を必要とする。
高密度化及び超大規模集積化に関連した性能に対する現在の要求は、サブミクロンのフィーチャ、増大したトランジスタ及び回路の速度、及び向上した信頼性を必要とする。これらの要求は、デバイスが依然として半導体ウエハの形であるにも拘わらず、高い精度及び均一性を有するデバイスフィーチャの形成を必要とし、そしてそれらはデバイスの頻繁で詳細な検査を含む注意深いプロセスの監視を必要とする。
注意深い検査を必要とする1つの重要なプロセスは、ホトリソグラフィ(光リソグラフィ:photolithography)であり、回路パターンを半導体ウエハへ転写するためにマスクが用いられる。一般に、これらの一連のマスクは、プリセットシーケンスにおいて用いられる。各々のホトリソグラフィのマスクは、ウエハ上に集積されるべき回路素子に相当する幾何学的な複雑な集合を有している。一連の各々のマスクは、シリコンウエハ上に形成された層、例えばポリシリコンまたは金属の層に前もってコーティングされた感光層(すなわち、ホトレジスト層)へマスクに相当するパターンを転写するために用いられる。ホトレジスト層へのマスクパターンの転写は、従来は、ホトレジストを感光するためにマスクを通して光または他の放射線を指向するスキャナーまたはステッパーのような光学的露光機器によって行なわれる。その後、ホトレジストは、ホトレジストのマスクを形成するために現像され、下層のポリシリコンまたは金属の層がそのマスクに従って選択的にエッチングされ、フィーチャ、例えばラインまたはゲートを形成する。
マスクの製造は、処理及び設計の制限によって設定された所定の設計規則に従う。デバイスまたはラインが望ましくない方法で互いにオーバラップしたり、相互作用したりしないことを確実にするために、これらの設計規則は、デバイスと相互接続ライン(配線)間のスペース許容誤差及びライン自体の幅を定義する。設計規則の制限は、臨界寸法(critical dimension: CD)と呼ばれ、デバイスの製造において許容される2つのライン間の最小間隔またはラインの最小幅として定義される。最大の超大規模集積化に適用されるCDは、ミクロンの数分の1のオーダである。
設計規則が縮まり、プロセスウインドウ(すなわち、処理における誤差に対するマージン)が小さくなるに従って、表面フィーチャのCDの検査及び測定ばかりでなく、それらの断面形状(プロファイル)がますます重要になっている。フィーチャのCDやプロファイルの、設計寸法からのずれは、最終半導体デバイスの性能に悪い影響を及ぼす。更に、フィーチャのCDやプロファイルの測定は、処理問題、例えば過剰露光によるホトレジストのロスまたはステッパーの焦点ずれを示す。
従って、CDやプロファイルの値、及びフィーチャのCDの、設計寸法からの変化は、ホトレジストやエッチングプロセスの正確性及び安定性の重要なインジケータであり、且つこの変化を減少する“CD制御”は、半導体処理の重要な一部である。CDの制御は、ウエハ内のフィールドからフィールドへ(from field to field: FTF)、ウエハからウエハへ(from wafer to wafer: WTW及びロットからロットへ(from lot to lot: LTL)のCD変化を解決するために、ホトリソグラフィ及びエッチングプロセスをモニターし、調節するステップを含む。FTF、WTW及びLTL変化の間で、FTF及びLTLは、主な変化成分であり、一方WTWは、全CD変化の10%より少ない程度の意味を有する。FTF変化は、一般にプロセスツール、例えばホトレジストのコーティングおよびベーキングの均一性、ステッパーまたはスキャナーのステージレベリング、及びエッチングのマイクロローディングの均一性によって決められる。他方、LTL変化は、一般に処理装置の安定性を含む、プロセスの安定性によって決められる
現在のDCの極端に小さなスケールのために、ホトレジスト処理によって生成された表面フィーチャの測定及び検査のために選ばれた機器は、測長走査型電子顕微鏡(critical dimension scanning electron microscope: CD-SEM)として知られた走査型電子顕微鏡(SEM)である。従来のSEMはCDを測定するのに有用であるけれども、それらは、一般にLTLを減少するためにホトリソグラフ・プロセスへ即時のフィードバックを与えない。SEM測定は、それが比較的ゆっくりしており、離れたレビューする場所で行われる必要があるので、“オフライン”で行なわれる。その結果、従来のSEM検査の結果は、一般にその後のエッチングプロセスを調整するために用いることができない;すなわち、特定のウエハのCD測定は、どんなエッチングレシピがそのウエハを処理するために利用されるべきかを決定するために用いられない。従って、CD−SEM測定から集められた、歩留まりを向上するのに役立つであろう情報は、最大限利用することができない。物理的に離れた機器で必ず行なわれる検査の結果として、ウエハは、行なわれる検査のたびに機器へ及び機器から移送されなければならない。このため、ウエハは大気に曝され、ウエハ表面の望ましくない酸化や表面上への異物の堆積を生じ、それにより歩留まりを低下する。
CDが臨界的である関連プロセスは“レジストトリム(resist trim)”として知れている。この分野の当業者が理解するように、ホトレジスト上のサブミクロンのフィーチャを露光するために光を用いるホトリソグラフィは、非常にコストがかかり、また複雑である。従って、必要以上に大きなフィーチャを露光し、その後露光されたフィーチャをそれらの最終サイズに“縮める”ためにレジストトリムと呼ばれるプロセスでこの露光を行うホトリソグラフィ機器を用いる技術が開発された。特に、大きなサイズのフィーチャが露光され、ホトレジストが現像された後に、ウエハはエッチングチャンバに運ばれ、特別に設計された“レジストエッチングステップ”、一般に、現像されたレジストフィーチャのサイズを縮める等方性のエッチングステップが行なわれる。その後、実際のフィーチャ(例えば、ポリシリコンゲートまたは金属ライン)が同じか、異なるエッチングチャンバにおいていろいろなエッチングレシピを用いて、エッチングされる。
レジストトリムプロセスに関連したCDの変化をモニターし、修正する1つの方法がToprac他に付与された米国特許5,926,690に開示されている。この特許は、ホトレジストが必要以上に大きなフィーチャを形成するために露光され、現像されたウエハのロットから1つ以上のテストウエハを選択し、CD−SEMによってテストウエハから代表的なホトレジストフィーチャのCDを測定する方法を教示している。その後、ウエハは、ホトレジスト・エッチング・ステップ及びゲート・エッチング・ステップを通して処理され、エッチングされたフィーチャのCDが測定される。初期の、及び最終のCD測定の結果は、それらのCDを目標値にもっていくためにロットに残っているウエハのエッチングレシピを調整するために用いられる。従来の他のCDモニター技術と同様に、Topracは、SEMにおいてオフラインでサンプルウエハのCDを測定し(すなわち、最初に、ウエハ上に形成されたホトレジストのフィーチャを測定し、その後ゲートを測定する)、ウエハ上の特定のフィーチャのCDがそのウエハを処理するためにどんなエッチングレシピを用いるかを決定するために用いられないことを教示している。
製造のスループット或いは歩留まりを著しく減少することなくCD変化の速く、意味のある確認及び修正のための簡単で、経費効果のある方法に対する必要性がある。また、レジストトリム動作を正確に行うための、効果的な装置及び方法に対する必要性がある。
(発明の概要)
本発明の利点は、ウエハの処理中における検査の間に集められた情報を利用することによって、製造のスループット或いは歩留まりを減少することなく半導体ウエハにおけるCD変化を減少する能力である。
本発明の利点は、ウエハの処理中における検査の間に集められた情報を利用することによって、製造のスループット或いは歩留まりを減少することなく半導体ウエハにおけるCD変化を減少する能力である。
本発明によれば、前述の、及び他の利点は、ウエハ上のターゲットフィーチャのCDを代表するデータセットを得るために、ウエハをイメージングするための測定機器;複数の参照(レファレンス)データセットをストアする記憶媒体、各々の参照データセットは、参照フィーチャのCDを示し、且つ第1のプロセスパラメータ値のいろいろな既知のセットと関連している;ウエハ上で第1のプロセスを行うための第1のプロセスパラメータ値を得るためにターゲットフィーチャのデータセット値に最も近く一致する参照データセットを識別するように構成されたプロセッサ;プロセスパラメータ値の第1のセットを用いて、ウエハ上で第1のプロセスを行うための第1の処理手段;測定手段と第1の処理手段との間でウエハを移送するための移送メカニズム;及びクリーンで、制御された環境中に、移送メカニズムを囲み、且つ移送メカニズム、測定手段および第1の処理手段の間で連通可能なチャンバを有する半導体ウエハの処理装置によって部分的に達成される。
本発明の他の特徴は、プロセッサが、更にターゲットフィーチャのCDのイメージングに基づいてプロセスパラメータ値の第2のセットを選択し、このプロセスパラメータ値の第2のセットを前の処理手段へ与えるように構成されている。
本発明の他の利点は、以下の詳細な説明から当業者に容易に明らかになるであろう。ここでは、本発明の代表的な例のみが、本発明を実行するために意図されたいろいろなモードを示すために単に示され、説明されている。理解されるように、本発明は、本発明から逸脱することなく、他の、及びいろいろな実施例が可能であり、その幾つかの説明がいろいろな点で変更可能である。従って、図面及び説明は、もとより例示として考えるべきであり、限定として考えるべきでない。
(実施例)
半導体ウエハの表面上に形成されたフィーチャのプロセス中の従来の検査方法は、欠陥の原因を初期の段階で、積極的に確認できる情報を得るために、CD及び/又はプロファイルの設計規則からのずれを充分詳細に解析したり、又は寸法変化を減少するためにプロセス制御を行なうことができない。本発明は、ウエハの検査(例えば、ホトレジストの現像)中に集められた情報を、ホトリソグラフィプロセスを通して行う次のロットへフィードバックすることによって、及び検査されたウエハが受けるであろう次のプロセス(例えば、エッチングプロセス)を調節するために情報をフィードホワードすることによって、CD変化を減少することによりCD制御の問題を解決する。本発明のある実施例においては、CDの測定、エッチング処理及びエッチング後のクリーニングが制御された環境内の単一モジュールにおいて行なわれ、それによりスループットを増大し、歩留まりを向上する。
半導体ウエハの表面上に形成されたフィーチャのプロセス中の従来の検査方法は、欠陥の原因を初期の段階で、積極的に確認できる情報を得るために、CD及び/又はプロファイルの設計規則からのずれを充分詳細に解析したり、又は寸法変化を減少するためにプロセス制御を行なうことができない。本発明は、ウエハの検査(例えば、ホトレジストの現像)中に集められた情報を、ホトリソグラフィプロセスを通して行う次のロットへフィードバックすることによって、及び検査されたウエハが受けるであろう次のプロセス(例えば、エッチングプロセス)を調節するために情報をフィードホワードすることによって、CD変化を減少することによりCD制御の問題を解決する。本発明のある実施例においては、CDの測定、エッチング処理及びエッチング後のクリーニングが制御された環境内の単一モジュールにおいて行なわれ、それによりスループットを増大し、歩留まりを向上する。
本発明の方法によれば、集積回路パターンのようなフィーチャがホトリソグラフィプロセス(例えば、ステッパーにおける露光に続くホトレジストの現像)によって“ホトセル”における半導体ウエハのロット又はバッチで形成された後、そのロットの1つ以上のウエハが検査のために選ばれ、一般にCD−SEM又は光学的検査手段によって検査されるべき各ウエハ上の幾つかの場所でフィーチャがイメージ化され、そのDC及び他の感度パラメータ、例えばエッジの幅やプロファイルのグレードを測定し、検査波形を得る。測定されたパラメータは、例えば、ステッパーの焦点及び露光設定のようなホトリソグラフィの調節可能なパラメータとリンクされる。従って、測定されたパラメータが設計寸法からずれているならば、焦点及び露光に関してリンクされた情報がホトセルへフィードバックされて、自動的に又はユーザの判断の何れかで、次のロットにおけるずれを修正するようにステッパーが調節される。
更に、測定されたパラメータは、例えば、異なるオーバエッチング及び/又はエッチング化学のためのエッチングレシピのようなプロセスの調整可能なパラメータにもリンクされる。従って、測定されたパラメータが所望の値からずれているならば、誤差を修正するようにリンクされたエッチングレシピがそのエッチャーにフィードホワードされ、検査されたロットにおけるウエハを処理するように、レシピが自動的に又はユーザの判断で実現される。従って、本発明のフィードバック及びフィードホワードは、検査の次のホトレジストの現像及び最終検査において、ロットからロットへのCD制御を改善する。
測定されたフィーチャパラメータ、ホトリソグラフィの調整可能なパラメータ及びエッチングの調整可能なパラメータ間のリンクは“ライブラリービルディング(library building)”を通して達成される。特に、本発明の1つの実施例において、参照波形の“ライブラリー”、例えば従来のSEM波形は、検査されるべきフィーチャの生成において用いられるレチクルを用いて、ホトセルにおけるテストウエハ上で形成された複数の参照フィーチャをイメージ化することによって作られる。参照フィーチャの各々は、ステッパー設定及び選択的に既知のプロファイルと関連される。
さらに、所望のCDを有する仕上げのフィーチャを生じるエッチングレシピは、参照フィーチャと共に用いられると、各々の参照波形とリンクされる。すなわち、参照フィーチャにおけるずれを補償するエッチングフィーチャは、各参照フィーチャにリンクされる。続いて、最適なCD、プロファイル及び/又は他の特性を有する特定の断面SEM波形と関連した参照波形は、“ゴールデン波形(golden waveform)”として選択され、識別される。
未知のCDのフィーチャは、従来SEMでイメージ化され、それにより生じた波形がゴールデン波形と比較される。フィーチャの波形がゴールデン波形と実質的にマッチング(一致)しないならば、それは最も近くマッチングした参照波形を見つけるために参照フィーチャ波形と比較される。マッチングした参照波形のプロファイル及びステッパー設定(検査中のフィーチャのプロファイル及びステッパー設定に相当する)は、ゴールデン波形と関連されたものと比較され、自動的又はマニュアルのプロセス調整のためホトセルへフィードバックされる。
更に、マッチングした参照波形のエッチングレシピは、エッチングプロセスから生じる検査中のロットにおけるウエハのフィーチャCDを調節するために、エッチャーへフィードホワードされる。従って、検査中のロットからのサンプルフィーチャのステッパーの焦点及び露光設定がピンポイントで行なわれ、ロットのための最適なエッチングレシピは、各フィーチャのSEM波形を得ることによって、及びこの波形を参照フィーチャ波形のライブラリーと比較することによって決められ、それにより、ホトセルへのフィードバック及びエッチャーへのフィードホワードを可能にし、ロットからロットへのCD変化を減少するようにプロセスパラメータを調節する。
本発明の1つの実施例において、参照波形のライブラリーは、テストウエハ上の焦点・露光マトリックス(focus exposure matrix: FEM)として形成される。FEMは、新しいマスクが作られるとき、又は製造レシピの変更後に最良の露光/焦点の組み合わせを得る従来の技術、すなわち、要求されるCDを保って、ウエハ上に最良の解像度を生じるステッパーの焦点及び露光の組み合わせを見つけることによってプロセスを最適化することである。FEM手続は、一般にテストウエハの一連の領域をマスクのパターンで連続して露光するステップを含み、一方露光および焦点の値は1つの露光位置から次の位置へ増加して変更される。特に、テストウエハは、ステッパーにおいて露光されるが、焦点は、一方の軸に沿って変えられ、露光は他方の軸に沿って変えられる。従って、露光されるウエハ上でフィーチャのマトリックスが得られ、各々の露光場所すなわちダイは異なる焦点−露光設定を有する。
図1は、焦点−露光マトリックスの一部である。マトリックス101は、マスクを通過する光または他の放射を用いてウエハ表面をパターン化することによって形成される。単一ウエハは、ダイのサイズに依存して数千又は数百のダイを含み、それらの各々は露光領域の1つ、すなわち図1に示された“セル”に相当する。便利なために、少数のダイのみが示されている。このマトリックの各々のセルは、増大して、異なる露光Eを有し及び/又は図面における名称fnEmによって参照される周りのセルのいずれよりも増加して異なる焦点fと共に形成された。焦点は、一方の軸に沿って変えられるが、露光は、マトリックスの形成において他の軸に沿って変えられる。
ウエハの露光後、個々の露光場所は現像され、その後、フィーチャのCDを測定するために従来のDC−SEM走査によって検査され、そして得られた検査波形は、各々の場所に対する参照波形を得るために記憶される。代わりに、検査波形は、光検査手段によって得ることもできる。このような手段の例は、Conradに付与された米国特許5,963,329に記載されている。光検査手段は、スキャタロ測定法(scatterometry)又は反射測定法の技術を利用することもできる。検査手段のためのスキャタロ測定法は、Raymond により"Angle-resolved scaterometry for semiconductor manufacturing", Microlithography World, Winter 2000に開示されている。検査用の反射測定法の使用は、Leeにより"Analysis of Reflectometry and Ellipsometry Data from Patterned Structure", Characterization and Metrology for ULSI Technology: 1998 International Conference, The American Institute of Physics 1998に教示されている。
必要に応じて、露光場所は、それらのそれぞれのプロファイルを決めるために、原子間力顕微鏡(Atomic force microscope: AMF)によって、イメージ化され、あるいは断面FIB−SEMによって断面化及びイメージ化される。CD、断面プロファイルのイメージ及び他の測定されるパラメータの評価は、最良のフィーチャ特性を生成する焦点と露光設定の組み合わせを決めるために行なわれる。その後、最良の特性を生成したステッパーの焦点及び露光設定の組み合わせに相当する参照波形(すなわち、SEM波形または光学波形)は、ゴールデン波形として指定される。各々の参照波形は、更に、最適なレシピと実験的に決められたエッチングレシピに関連され、参照フィーチャの測定されたCD及び他の特性を与える設計寸法にできる限り近いCDを有する最終のフィーチャ(エッチング後)を生成する。
本発明の参照ライブラリーの概念は、図2(A)及び図2(B)示されている。図1のFEMに基づいた図2(A)において、最適化されたプロセス条件は“X”が付されたセルによって示されている。他の全てのセルは、プロセス変化の合理的な範囲、すなわち“プロセスウインドウ”をカバーするのが好ましい。ここで、Δfn及びΔEmは、それぞれセルXとの違いとして測定される;すなわち、Δf及びΔEは、セルXでは両方がゼロであり、他のセルのΔfとΔEは、最適な露光及び焦点に対して露光量及び焦点設定である。各々の露光の欄A−Eは異なるエッチングレシピを表わす。エッチングレシピの調整は、この模範的な実施例ではCDに適用するので、エッチングレシピの割当ては、露光欄に並べられる。図2(B)は、図2(A)の各セルの拡大を表わす。測定されたCD値(例えば、ボックスI)及び検査波形(例えば、ボックスII)は、セル内で、ΔfnΔEm(例えば、ボックスIII)、エッチングレシピ(例えば、ボックスIV)及び検査されたフィーチャのプロフィールの断面又はイメージ(例えば、ボックスV)にリンクされる。
本発明の模範的な実施例は、イメージャー310、例えばカルフォルニア州、サンタクララのアプライドマテリアルズから利用可能なVeraSEMTMのようなCD−SEM又は米国特許5,963,329に記載された光学イメージャーを有する図3に示された処理ライン300におけるレビュー手段を用いて実現される。処理ライン300は、更に、ここで電子的に開示された分析を行なうのが好ましいプロセッサ320、及びプロセッサ320の分析結果を表示するモニター330を有する。プロセッサ320は、メモリ装置、例えば半導体メモリ、及び従来プロセス情報の記憶のために用いられた“製造実行システム”(manufacturing execution system: MES)として知られているコンピュータソフトウエア実行データベースシステム350と通信を行なう。また、プロセッサ320は、前述のホトセル360及びエッチャー370とも通信を行なう。
図4(A)は、ホトセル360における半導体ウエハW上に形成されたトランジスタのようなフィーチャ(以下、「ターゲットフィーチャ」と呼ぶ)の検査と共に実現される、本発明の実施例によるプロセス制御の主なステップを示すフローチャートである。ステップ410において、参照ライブラリーは、参照CD及びSEMまたは光学波形の形式の波形を含んで形成され、プロセッサ320またはMES350に局部的にストアされる。各々の参照波形及び正しいエッチングレシピと関連するステッパーの設定は、波形と共にストアされる。必要なら、プロファイルイメージもユーザによってストアされる。例えば、ホトセル360のような一連のプロセスステップは、ユーザが決める検査されなければならない臨界層を形成する場合、参照ライブラリーは、検査されるべき各層に対して、一度だけ形成される。ゴールデン波形、すなわち光学CD及び/または他の特性を示す参照フィーチャと関連する波形がステップ420で選択される。
未知のCD及び他の特性を有するフィーチャを有するウエハWは、ホトセル360からイメージャー310へ運ばれ、ステップ430でターゲットフィーチャは、イメージャー310によってイメージ化され、そしてその波形がターゲット波形としてストアされる。ステップ440でターゲット波形はストアされたゴールデン波形と比較される。もし、ターゲット波形とゴールデン波形が所定の制限内で一致するならば、ターゲットフィーチャのCDは、ゴールデン波形(ステップ441参照)からの、ターゲット波形のずれの量を示す“マッチングスコア(matching score)”と共にユーザに報告される。その後、検査結果(すなわち、データ)はMES350に送られ、且つウエハWは、さらに処理するためにエッチャー370へ送られる。
ターゲット波形がゴールデン波形と一致しないならば、ターゲット波形は、ライブラリーにおける参照波形の各々と比較され、ターゲット波形に最も近く一致する参照波形を識別する(ステップ450参照)。報告されたステッパー設定はステップ460でゴールデン波形と関連するステッパー設定と比較され、ゴールデン波形を生成した設定とターゲット波形を生成した設定との間の相違dEdFを決定する;例えば、ゴールデン波形と関連した焦点設定とターゲット波形と関連した焦点設定間の相違を決定し、且つゴールデン波形と関連した露光設定とターゲット波形と関連した露光設定間の相違を決定する。その後、この情報は、ホトセル360へ送られ、そこで、情報は、ステッパーの設定を修正し、必要とされるステッパーへの調整量を示すことによって続いて処理されるウエハにおけるCD変化を生じるばかりでなく、特定の調整(すなわち、焦点、露光、又はその両方)が行なわれるステッパーにおける“ドリフト”を最小にするために用いられる。
次に、ステップ470でdE及びdFが所定のスレッショルド値と比較される。もし、dE及びdFが所定のスレッショルド値より大きくないならば、ステップ471でターゲットフィーチャのCD及びマッチングスコアが報告され、その後、検査データがMESに送られ、且つウエハWがエッチャー370へ送られる。一方、もし、dE及びdFが所定のスレッショルド値より大きいならば、ステップ480でターゲットフィーチャのCD及びマッチングスコアがdEとdF、及び関連したエッチングレシピと共に報告される。この関連したエッチングレシピは、エッチングレシピを調整して(すなわち、アップデートして)ウエハW上の最終フィーチャのCDのずれを修正するために、エッチャー370へ送られる。エッチングレシピは、一般に約10%またはそれより小さな範囲内でCDを調整することができる。
ステップ460のフィードバック及びステップ480のフィードホワードは、マニュアルによるか、または自動的に行なわれる。“マニュアルモード”では、ユーザは、報告されたプロセス修正情報を得て、それをホトセル360および/またはエッチャー370においてマニュアルで行う。これによって、プロセス調整のための必要性を決定するために、ユーザからの熟練した入力を可能にする。“自動モード”では、プロセス修正情報は、レシピのアップデートによって修正を行うように、ホトセル360におけるステップへ、又はエッチャー370へ自動的に送られる。このモードは、プロセッサ320とエッチャー370間の、及びプロセッサ320とホトセル360間の通信を可能にするソフトウェアインタフェースによって実現される。ステップ470の所定のスレッショルドテストは、アップデートが必要であるかどうかを判断するために感度フィルタとして用いることができる。自動モードは、それが素早いフィードバック及び一致性を可能にするので利点がある。
本発明の上記の実施例は、“ゴールデン波形”技術に関して説明された。しかし、CD測定のような方法をエッチングレシピに対して、及びフィーチャプロファイル及び/又は断面に対して修正することができるあらゆるCD測定が本発明を実行するために用いることができることを理解すべきである。このような技術の例は、"An Inverse Scattering Approach to SEM Line Width Measurements"(SEMライン幅の測定のための逆スキャッタリング法), Mark P. Davidson and Andras E. Vladar, Proceedings of SPIE, Vol. 3677(1999)に記載されており、その全開示は、レファレンスによってここに含まれる。この特定の技術において、SEM波形は、フィーチャの側壁の形状及び寸法(すなわち、フィーチャのプロファイル)を予測するために、モンテカルロシミュレーションのライブラリーと一致される。
一般に、多くのウエハ、例えば約25枚のウエハがホトセル360によって処理された後に、模範的な方法が実行される。ユーザの好みにより、ロットから多くのウエハWが検査されるために選ばれる。たとえば、マイクロプロセッサを製造する場合、一般に1〜3枚のウエハが検査のために選択されるが、しかし、DRAMのようなメモリデバイスを製造する場合、一般にロット当たり1枚のウエハのみが検査される。各々の選ばれたウエハWの多くの場所、ウエハW当たり約9〜17の場所が、通常本方法によって検査される(すなわち、ステップ430におけるターゲットフィーチャである)。
ロットにおける1つ以上のウエハWから多くのターゲットフィーチャが検査される場合、ステップ480で実行されるエッチングレシピを決定するために、そのロットの全てのターゲットフィーチャのCDが平均化され、その平均したCDと関連したエッチングレシピがロットのエッチング処理を調整するために用いられる。ロットにおける多くのターゲットフィーチャが検査される場合、続くロットのホトリソグラフ処理を調整するために、ステップ460においてホトセル360へフィードバックされるステッパーの焦点及び露光情報(dEdF)を決定するために、ユーザは、選ばれたウエハW上のどの位置を検査するべきかを決定するために、前に集められたプロセス情報を用いることができ、その後ホトセル360を調整するために、検査されたフィーチャのどの情報を用いるかを決定することができる。
これは、本発明の実施例のフローチャートである図4(B)に示されている。ステップ490において、ユーザは、本方法を用いて、検査前に多くのウエハを横切ってフィールドからフィールドへのCD変化をマップ化する。これは、実際に全てのウエハ製造者によって行われる標準のプロセス制御技術である。それは、ウエハのどの領域が設計値から小さなCD変化を有し、ウエハのどの領域が大きなCD変化を有しているかを示す。例えば、あるウエハ処理装置(例えば、ホトセル360)は、ウエハの中央において小さな変化を有し、周辺において大きなCD変化を有するウエハを生成する。他の装置は、ウエハの中央近くで大きなCD変化を有し、中央の周りのバンドにおいて小さな変化を有するウエハを生成する。CD変化をマップ化した後、ユーザは、ステップ491において、最も悪いCD変化を示すウエハの領域を確認する。
次に、ユーザは、ユーザが修正したい最も小さなCDのずれを示すスレッショルドCD変化を選ぶ(ステップ492参照)。その後、ターゲットフィーチャは、ステップ493において本方法を用いて検査される(例えば、上述されたステップ430及びそれに続くもの)。ターゲットフィーチャは、ステップ491で確認された最も悪い部分におけるフィールドが表わされるように選ばれる。もし、検査されたフィーチャのフィールドからフィールドへの変化が所定のスレッショルドより小さいならば(ステップ494参照)、ターゲットフィーチャのいずれか1つと関連するdEdFが続くロットの処理の調整のために用いられるホトセル360へフィードバックすることができる(ステップ495)。なぜならば、それらは互いに比較的近いからである。一方、もし、検査されたフィーチャのフィールドからフィールドへの変化がステップ492において選ばれたスレッショルドより大きいならば、ステップ491から所定の最悪の場所から検査されたフィーチャと関連するdEdFは、ホトセル360へフィードバックされる(ステップ496参照)。従って、最悪のCD変化はその後のロットにおいて修正される。
ステップ497において、検査されたフィーチャのCDsは平均化され、ステップ498において、平均CDと関連したエッチングレシピはエッチャー370へフィードホワードされて、検査されたロットのウエハ上のフィーチャのCDずれを修正するためにエッチングレシピを調整(すなわち、アップデート)する。従って、本発明のこの実施例は、ユーザが情報、例えばフィールドからフィールドへのCD変化のマップ(それらは、本発明の実施とは関係なく当然のこととして集める)を用い、最小のコストと検査時間でロットからロットへの変化を減少することを可能にする。
本発明の他の実施例において、ターゲット波形をゴールデン波形と比較するステップ(図4(A)、ステップ440参照)及びターゲット波形を参照波形(ステップ450)の1つにマッチングするステップは、検査中のプロファイルの重要なフィーチャを表わす、ターゲット波形と参照/ゴールデン波形の選ばれた一部のみを比較するステップを含む。最も適切な情報を含む波形の一部のみを解析することによって、処理時間は減少される。図6(A)は代表的なフィーチャのプロファイルを示し、図6(B)は、図6(A)のフィーチャに対応する検査波形を示し、図6(C)は図6(B)の波形からの第1の導関数(デリバティブ)のグラフ表示である。部分601aと603aは、検査されるべきフィーチャプロファイルの最も重要な領域であり、図6(B)における波形の区分(セグメント)601bと603b、及び図6(C)に示された第1の導関数の区分601cと603cに相当するので、ターゲット及び参照波形の第1の導関数の区分601c又は603cに一致することは利点である。
図6(D)のフローチャートに示されている本発明の他の実施例において、もし、ターゲット波形が図4(A)のフローチャートのステップ440におけるゴールデン波形に一致しないならば、ターゲット及び参照波形の第1の導関数がとられ(ステップ610)、ステップ620における区分、例えば、図6(C)におけるセグメント601c、603cに分けられる。その後、ターゲット波形の導関数の各区分601c、602cおよび603cは、既知のプロファイルの一部(例えば、図6(A)の部分601a、602a、603a)と関連する参照波形(ステップ630、640、650参照)の導関数の対応する区分に別々に一致される。従って、ターゲットフィーチャのプロファイルはセグメントにマッチングする“ビルディングブロック”をアッセンブルすることによって予測される。予測されたプロファイルの検査は、プロファイルのどの部分が設計基準からずれているかを示し、この情報は、ホトリソグラフの処理問題、例えば焦点及び露光問題を調べるためにこの分野の当業者によって用いることができる。
本発明の他の実施例において、ターゲット波形をゴールデン波形と比較するステップ(ステップ440)及びターゲット波形を参照波形の1つとマッチングするステップは、図6に示されたターゲット及び参照波形に“カーブ”を合わせる、すなわち、各波形の形状を示す数学的関数、すなわち“公式”を得るアルゴリズムを用いる。その後、ターゲット及び参照波形を表わす数学関数の対応する重要なエレメントが、どの参照イメージがターゲットイメージに最も近く一致するかを決めるために比較される。例えば、もし、ターゲット及び参照波形が関数y=F(x,a,b)によって表わされるならば、x、a及びbの値がターゲット波形のx、a及びbの値に最も近く一致する参照波形は、ターゲット波形に最も近く一致する参照波形である。(ここで、a及び/又はbは、xの定数又は指数である)
本発明の更に他の実施例において、ターゲット波形をゴールデン波形と比較するステップ(ステップ440)及びターゲット波形を参照波形の1つとマッチングするステップは、ターゲットフィーチャ及び参照フィーチャのイメージを生成するために、ターゲット及び参照波形を用いるステップ、及びその後生成されたイメージを比較するステップを含む。換言すれば、図6(B)に示された波形は、図6(A)に示されたイメージを生成するために、従来のコンピュータグラフィックスの技術を用いて扱われる。その後、生成されたイメージは、境界解析及びグレースケール解析のようなよく知られたパターン認識技術によって一致される。
本発明の他の実施例において、ウエハがウエハかセットから移され、ウエハの表面上のフィーチャの寸法、例えばフィーチャのCDが光学測定手段を用いて測定される、半導体ウエハを処理する装置が提供される。その後、プロセス、例えばエッチングプロセスがプロセスパラメータ値のセット、例えばCDの測定に基づいて選ばれたエッチングレシピを用いてウエハ上で行なわれ、そしてウエハはカセットに戻される。ある実施例では、エッチング後の処理、例えばアッシング、ウエットクリーニング及び/又は更なるCD測定が、ウエハがカセットに戻される前に装置によって行なわれる。この装置によって行なわれた移送及び処理ステップの全ては、きれいな環境において行なわれ、それによりステップ間にウエハを大気に曝したりすること、及び可能な汚染を避けることによって歩留まりを向上する。
更に、本発明のこれらの実施例は、ウエハごとのエッチング前のCD測定及びそのCD測定によるウエハごとのエッチングレシピの調整を行い、前に行なった手段、例えばホトセルにおける露光と焦点の変化におけるプロセス変化に対して修正する。これは、一般にエッチング処理の前及び/又は後にサンプルウエハのCDを測定し、ウエハの次のバッチを処理するためにこの情報を用いる従来の方法とは逆である。本発明は、ウエハごとのリアルタイム測定を行い、そしてウエハごとにエッチングレシピを調整することによって歩留まりを増加する。
本発明による半導体ウエハを処理する装置を、図9(A)を参照して説明する。この装置は、複数の処理チャンバ、例えば従来のエッチングプロセッサ902、及びロードロックと呼ばれる1つ以上の移送チャンバ903を取り付けるための、チャンバ、すなわち“本体(メインフレーム)”901、例えばカルフォルニア州、サンタクララのアプライドマテリアルズ社から利用できるCenturaTM処理システムを有する。本体901は、その内部の真空環境を維持することができる。ロボット904は、処理チャンバ902と移送チャンバ903との間でウエハを移送するために設けられる。
移送チャンバ903は、制御された環境を維持する“小型の環境”として知られるファクトリーインタフェース(factory interface)905に接続されている。CDの測定手段906、例えばスキャタロ測定法(scatterometry)又は反射測定法(reflectometry)の技術を利用する光学測定手段がファクトリーインタフェース905の内部に取り付けられている。測定手段906として用いることができるツールの例は、米国特許5,963,329に記載されているCD測定を含むことができる上述のイメージャー310(図3及び図4(A)参照)である。エッチャー902にウエハのCD測定に基づくエッチングレシピを与えるプロセッサ(すなわち、プロセッサ320に相当するプロセッサ)はエッチャー902又は本体901の一部である。
1つ以上のロボット907、又はトラックロボットが移送チャンバ903、測定手段906、及びファクトリーインタフェースに取外し可能に取り付けられた標準のウエハかセット908間でウエハを移送するためにファクトリーインタフェースの内部に取り付けられる。本体901、移送チャンバ903、ファクトリーインタフェース905、及びロボット904、907は、全て上述したアプライドマテリアルズ社のCenturaTMのような従来の処理システムの一部であり、きれいな、制御された環境を維持しつつ互いに連通している。このような従来の処理システムは、更にプロセッサ、例えばシステムの一方のパーツから他方へウエハの移送を含むシステムの動作を電子的に制御するコンピュータ(図示せず)を有する。
本発明のこの実施例による装置の動作を図10のフローチャートを参照して説明する。複数のウエハが処理手段、例えば上述したホトセル360において処理された後、それらはカセット908にロードされる。そしてこのカセットは、ステップ1010においてファクトリーインタフェースに移送される。その後、ウエハは、カセット908からアンロードされ、ロボット907(ステップ1020)によって測定手段906へ移送され、ウエハの表面上に形成されるフィーチャがステップ1030で測定される。本発明のある実施例において、ウエハは、測定手段906に光学的に整列される;すなわち、ウエハのノッチが所定の位置に向けられる。例えば、測定手段906は、ウエハを整列し、そのCD測定を行い、その後、必要に応じて再整列し、ウエハのノッチがロボット907によって次の扱いに対して正しい位置にすることを確かにする。
ステップ1040で、ウエハに対するエッチングレシピがウエハのCD測定に基づいて選択される。たとえば、上述した図3及び図4(A)、4図(B)の本発明の実施例によるウエハのCD測定データに対して、“署名解析(シグネチャーアナリシス)”が実行される。CD測定からのデータは、変化を調べるためにライブラリーからの参照データと比較され、その後変化はパラメータ化される(すなわち、エッチングプロセスのパラメータへ変換される)。代わりに、ルート結合された波形解析(root coupled wave analysis: RCWA)が行なわれる。ここで、与えられた波形に相当するCDは、計算によって、例えば、光学検査手段におけるプロセッサによって得られる。RCWAは、Chateau, "Algorithm for the rigorous couple-wave analysis of grating diffraction" Journal of the Optical Society of America, Vol. 11, No. 4 (April 1994) 及びMoharam, "Stable implementation of the rigorous coupled-wave analysis for surface-relief grating: enhanced transmittance matrix approach", Journal of the Optical Society of America, Vol. 12, No. 3 (May 1995)で議論されている。また、図3、図4(A)及び4図(B)の実施例において説明されているように、この解析の結果は、改定されたステッパーの設定を選択するために、ホトセル360にフィードホワードすることもできる。
ステップ1050で、ウエハは、ウエハを移送チャンバ903へ運ぶロボット907を用いて、及びウエハをエッチャー902へ運ぶロボット904を用いて測定手段906からエッチャー902へ移送される。その後、ウエハは、エッチングされる(ステップ1060)。このエッチングステップは、レジストのトリミング(不要な部分を剥ぎ取る)ステップ、フィーチャ形成ステップ(例えば、ポリシリコンゲートのエッチング)、又はこれらの双方である。次に、本発明の1つの実施例においては、ウエハは、ロボット904、907によってカセット908及び移送チャンバ(903)ステップ1090へ戻される。
代わりに、ウエハは、先ず、カセット908へロードされる前にエッチング後のCD測定(ステップ1070及び1080)のために測定手段906へ移送される。測定手段906によって得られたデータは、前に処理されたウエハからのエッチング後のデータと比較される。通常のエッチング語のデータからかなりの変化が観察されるならば、ウエハはカセット908へ戻され、その後、クリーニングされ、レビュー手段、例えばCD−SEMにおいてレビューされる。従って、測定手段906は、レビューが必要な場合を示すためにプロセスモニターとして用いられる。
図9(B)に示された本発明の他の実施例において、従来のアッシング・ストリップ・プロセッサ909(“ASPs”と呼ばれる)がエッチャー902と共に本体901に取付けられる。ASPs909は、エッチング後のホトレジストポリマー及び他の残留物を除去するためのものである。ファクトリーインタフェース905aはそこに取付けられたCD測定手段(図9(A)の実施例におけるように、その内部に代えて)ばかりでなく一体化された粒子モニター910(“IPM”と呼ばれる)、例えば、カルフォルニア州、サンタクララのアプライドマテリアルズ社から利用可能なExciteTMを有する。IPM910は、ウエハの表面上の異物及び他の欠陥を検出するためのものである。
図9(B)の装置は、ウエハがステップ1060でエッチングされた後、エッチング後のCD測定(ステップ1080)のためにステップ1070で測定手段906aへ移送される前に、ウエハがASP909においてクリーニングされる点を除いて、上述のように図10のフローチャートに従って動作する。その後、ウエハは、ステップ1090でカセット908へ戻される前にIPM910へ行く。
図9(C)に示された本発明の更に他の実施例において、例えば、米国特許出願番号09/603,792で説明されているように、ウエット・クリーニング・モジュール911が測定手段906a及びIPM910と共にファクトリーインタフェース905bに取り付けられる。図9(C)の装置は、ウエハがステップ1060でエッチングされた後、エッチング後のCD測定(ステップ1080)のためにステップ1070で測定手段906aへ移送される前に、ウエハがASP909及びウエット・クリーニング・モジュール911においてクリーニングされる点を除いて、上述のように図10のフローチャートに従って動作する。ウエハは、その後、ステップ1090でカセット908へ戻される前に、IPM910へ行く。
図9(A)〜図9(C)に示された本発明の実施例は、制御された環境条件下でエッチング前のCD測定、エッチング、クリーニング、及びエッチング後のCD測定を全体的に行なう。本体及び/又はファクトリーインタフェース上でエッチング、クリーニング及びCD測定を行なうことによって、ウエハはエッチングされ、クリーニングされ、及びカセットへ戻される前に検査され、それによって処理時間及びコストを減少する。更に、図9(A)〜図9(C)の実施例は、ウエハごとにリアルタイムでCD測定データのフィードバック及びフィードホワードを行い、それによって、ウエハごとにカスタマイズされるべきエッチング処理が歩留まりの増大を可能にする。従って、本発明は、必要に応じて、DC測定からのフィードバックは、ウエハごとよりもロットごとに基づいており、ウエハは、測定、エッチング及びクリーニングステップ間で大気に曝される従来のシステムと比べて、歩留まりを増加し、製造コストを減少する。
更に、図9(a)〜図9(C)の装置を用いて実施される本発明の模範的な実施例を、図7及び図8のフローチャートを参照して説明する。本発明のこれらの実施例において、レジストのトリム、及びフィーチャのエッチングプロセス(例えば、ゲートエッチング、トレンチ分離(STI)のトレンチエッチング、ビアエッチング、コンタクトホールのエッチング、金属のエッチングなど)におけるCDは、制御された環境条件で、リアルタイムにCD測定のフィードバック及びフィードホワードを用いて、確実に制御される。
図7及び図9(A)を参照すると、複数のウエハが処理手段、例えば、上述したホトセル360において従来の方法で処理され、ホトレジストのパターンを形成するためにホトレジスト層を露光し、現像する。前述した実施例におけるように、ウエハは、カセット908にロードされ、カセットはファクトリーインタフェース905に移送され、その後、ウエハかセット908からアンロードされ、ロボット907によって測定手段906に移送され、ウエハは整列され、そして、ホトレジストパターン(“PRCD In”)のCDがステップ720で測定される。
ステップ730で、ホトレジストのためのトリムレシピがホトレジストパターンのCD測定に基づいて選択される。例えば、署名解析又はRCWAがパターンのCD測定データのために実施され、プロセスモデルM1をアップデートするために、フィードホワードされるこの解析結果は、ウエハに対するトリム(トリミング)レシピを調節するために用いられる。
ウエハを移送チャンバ903へ移動するためにロボット907を用いて、及びウエハをエッチャー902へ移動するためにロボット904を用いて、ウエハは測定手段906からエッチャー902へ移送される。その後、ホトレジストパターンは、ホトレジストパターンをターゲットサイズまでトリミングし、または縮めるためにエッチング(ステップ740)される。レジストトリムは一般に等方性エッチングステップである。次に、ウエハは、ステップ750でトリム後のCD測定(“PRCD Out”)のため測定手段906へ移送される。“PRCD Out”は、プロセスモデルM1をアップデートするためにフィードバックされ、続いて処理されるウエハのためにトリムレシピを調整する。
その後、ウエハは、同じチャンバか、または他のエッチングチャンバへロボットによって移送される。ホトレジストの下にある層が、マスクとしてのトリムされたホトレジストパターンを用いて、ステップ760でエッチングされ、フィーチャ、たとえば、所望のサイズのトランジスタゲート、トレンチ、ビアなどを形成する。本発明の1つの実施例では、ウエハは、カセット908へ戻される前にステップ770でエッチング後のCD測定(“フィーチャCD”)のための測定手段へ移送される。測定手段906によって得られたデータは、ステップ780で前に処理されたウエハからのエッチング後のデータと比較される。通常のエッチング語のデータからの著しい変化が観測されるなら、ウエハは、カセット908へ戻され、その後、クリーニングされ、CD-SEMのようなレビュー手段でレビューされる。従って、測定手段906は、レビューが必要な場合を示すためにプロセスモニターとして用いられる。
図8に示された本発明の他の実施例において、図7に関して上述されたものと同一のプロセスが、エッチングされたフィーチャのCDがステップ770で測定された後、データがプロセスモデルM2をアップデートするためにフィードバックされ、ステップ880で続いて処理されるウエハのためのエッチングレシピを選択する点を除いて、実行される。本発明の更に他の実施例において、ステップ770で得られたフィーチャCDのデータもプロセスモデルM1をアップデートするためにフィードバックされ、ステップ730で続いて処理されるウエハのためのトリムレシピを調節する。
図7及び図8の実施例は、便宜上図9(A)の装置を参照して説明されたが、これらの実施例は図9(B)及び図9(C)の装置で実施されることを理解すべきである。勿論、図9(B)及び図9(C)の装置で利用可能な叙述したクリーニング及び/又は他の検査ステップは、適切に及び/又は必要に応じて行うことができる。
図5は、本発明の実施例を示すブロック図である。この実施例によると、図5に示されたプロセッサ320は、情報通信のためのバス502または他の通信機構、及び情報を処理するための、バス502に結合されたCPU504を含む。又、プロセッサ320は、主メモリ506、例えば情報、及びCPU504によって実行されるべき命令をストアするための、バス502に結合されたランダムアクセスメモリ(RAM)または他のダイナミック記憶装置を含む。また、主メモリ506は、CPU504によって実行されるべき命令の実行中に、一時的な変数または他の中間情報をストアするために用いることもできる。更に、プロセッサ320は、CPU504のためのスタティック情報及び命令をストアするための、バス502に結合されたリードオンリーメモリ(ROM)508または他のスタティック記憶装置を有する。記憶装置510、例えば、磁気ディスクまたは光学ディスクは、情報及び命令をストアするために設けられ、バス502に結合される。また、記憶装置510は、図3のメモリ340としても働く。
プロセッサ320は、バス502を介して、コンピュータユーザに情報を表示するためのモニター330、例えば陰極線管(CRT)に結合される。英数字及び他のキーを含む入力装置514は、情報及びコマンド選択をCPU504と通信するためバス502に結合される。他の形式のユーザ入力装置は、指示情報及び命令選択をCPU504へ送るための、及びモニター330上のカーソルの動きを制御するためのカーソル制御装置516、例えばマウス、トラックボール、またはカーソル指示キーである。
イメージャー310(図3)は、上述されたように、検査中に半導体ウエハのフィーチャを表わすデータをバス502へ入力する。このデータは、主メモリ506及び/または記憶装置510にストアされ、CPUが命令を実行するに従ってCPU504によって用いられる。また、イメージャー310は、CPU504からバス502を介して命令を受け取ることもできる。
本発明は、半導体ウエハの表面上に形成されたフィーチャを検査し、ウエハの処理を制御するためのプロセッサ320の使用に関する。本発明の1つの実施例によると、主メモリ506に含まれる1つ以上の命令の1つ以上のシーケンスを実行するCPU504に応答して、ウエハの表面の検査およびウエハのプロセス制御がプロセッサによって行なわれる。これらの命令は、他のコンピュータ読み取り可能な媒体、例えば記憶装置510から主メモリ506へ読み込まれる。主メモリ506に含まれる命令のシーケンスの実行によって、CPU504は上述したプロセスステップを行う。また、マルチ処理装置における1つ以上のプロセッサは、主メモリ506に含まれる命令のシーケンスを実行するために用いることもできる。
他の実施例において、ハードワイヤード(hard-wired)回路が、本発明を実行するソフトウエア命令に代えて、またはそれらと組み合わせて用いられることができる。従って、本発明の実施例は、ハードウエア回路とソフトウエアのある特定の組み合わせに限定されない。本装置のプログラミングは、図4のフローチャートが提供されたこの分野の当業者によって容易に達成される。
ここで用いられた用語“コンピュータ読み取り可能な媒体”は、実行のために命令をCPU504に提供することができるあらゆる媒体を言う。このような媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されない多くの形態をとることができる。揮発性媒体は、例えば主メモリ506のようなダイナミックメモリを含む。伝送媒体は、バス502を有する線路を含む、同軸ケーブル、銅線及び光ファイバーを有する。
また、伝送媒体は、音響または光波の形態、例えば無線周波数(RF)及び赤外線(IR)データ通信中に発生されるものを取ることができる。コンピュータ読み取り可能な媒体の共通の形態は、例えばフロッピーディスク(登録商標)、フレキシブルディスク、ハードディスク、磁気テープ、他のあらゆる磁気媒体、CD−ROM、DVD、他のあらゆる光学媒体、パンチカード、紙テープ、孔のパターンを有する他のあらゆる物理的媒体、RAM、PRAM、EPROM、フラッシュEROM、他のあらゆるメモリチップまたはカートリッジ、またはコンピュータが読み取ることができる他のあらゆる媒体を含む。
コンピュータ読み取り可能な媒体のいろいろな形態は、実行のため1つ以上の命令の1つ以上のシーケンスをCPUへ運ぶ場合に含まれる。例えば、命令は、最初に遠隔コンピュータの磁気ディスク上に運ばれる。遠隔コンピュータは、そのダイナミックメモリに命令をロードし、モデムを使用して電話線に命令を送る。プロセッサを結ぶモデムは、電話線上のデータを受信し、赤外線送信機を使用して、そのデータを赤外線信号に変換する。バス502に結合された赤外線検出器は、赤外線信号で運ばれたデータを受信し、データをバス502上に置く。バス502はデータを主メモリ506へ運び、そこからCPU504が命令を取り出して、実行する。主メモリ506によって受け取られた命令は、CPU504による実行前か、あるいは実行後のいずれかに記憶装置510に任意にストアされる。
本発明のプロセス制御技術は、ホトリソグラフィー及びエッチングプロセスパラメータを調整するために、検査プロセス中に集められたデータを用いることによって、製造のスループットを著しく減少することなく、ロット・ツー・ロット(ロットからロットへ)のCD変化が減少されることを可能にする。本発明の方法は、SEM波形を、事前製造FEMを形成することによって得られる参照フィーチャのライブラリーの波形と比較することによって、検査されたフィーチャのステッパーの設定及び最適なエッチングレシピを決定する。従って、本発明は、生産ラインの操業開始およびランプアップ(ramp-up)中に特に有用である。
本発明は、いろいろな形式の半導体デバイス、例えば約0.18マイクロ以下の設計基準を有する特に高密度半導体の製造に応用可能である。
本発明は、従来の材料、方法、及び装置を用いることによって実施することができる。従って、このような材料、方法及び装置の詳細はここでは述べない。前の説明では、本発明の完全な理解を得るために、いろいろな特定の詳細、例えば特定の材料、構造、化学作用、プロセス等が説明されている。一方、本発明を不必要に不明りょうにしないために既知の処理構造を詳細に説明しなかった。
本発明の好適な実施例のみが、その用途の広い幾つかの例を除いて、示され、説明された。本発明はいろいろな他の組み合わせ及び環境において使用可能であり、ここで説明された発明概念の範囲内での変更及び変化が可能であることを理解すべきである。
Claims (33)
- 半導体ウエハを処理する装置であって、
前記ウエハ上の構造の寸法を測定するための測定手段と、
第1の組の処理パラメータ値を用いて前記ウエハ上で第1の処理を行なうための第1の処理手段と、
前記測定手段と前記第1の処理手段との間で前記ウエハを移送するための移送メカニズムと、
前記移送機構を含み、きれいな環境にある前記移送機構、測定手段及び第1の処理手段の間に連通可能なチャンバと、
前記寸法の測定に基づいて第1の組の前記処理パラメータ値を選択するように構成されたプロセッサと、
を有することを特徴とする装置。 - 前記プロセッサは、更に、前記ウエハの寸法の測定に基づいて第2の組の処理パラメータ値を選択し、且つ
前記第2の組の処理パラメータ値を前に行った処理手段へ与えるように構成されていることを特徴とする請求項1に記載の装置。 - 前記測定手段は、前記ウエハ上のターゲットフィーチャの臨界寸法(CD)を測定するためのものであることを特徴とする請求項1に記載の装置。
- 前記測定手段は、前記ターゲットフィーチャのCDを光学的に測定することを特徴とする請求項3に記載の装置。
- 前記ターゲットフィーチャのCDは、スキャタロ測定法及び反射測定法を用いて測定されることを特徴とする請求項4に記載の装置。
- 前記チャンバは、第1の処理手段を含む複数の処理手段を取り付けるための本体と、前記測定手段を収容し、ウエハカセットを取り付けるためのファクトリーインタフェースと、前記本体とファクトリーインタフェース間に、及び前記本体とファクトリーインタフェースと連通する移送チャンバとを有し、
前記移送機構は、前記測定手段、移送チャンバ及びウエハカッセト間で前記ウエハを移送するための第1のロボット、及び前記移送チャンバ及び第1の処理手段との間で前記ウエハを移送するための第2のロボットを有することを特徴とする請求項3に記載の装置。 - 前記第1の処理手段はエッチャーを有し、前記第1の処理パラメータの値はエッチングレシピを有することを特徴とする請求項3に記載の装置。
- 前記測定手段は、前記ウエハを整列するためののものであることを特徴とする請求項3に記載の装置。
- 前記プロセッサは、前記第1の処理がウエハ上で行なわれた後前記第1の処理手段から前記測定手段へ前記ウエハを移送するために、前記移送機構を制御し、且つ
前記ウエハの寸法を再測定するために前記測定手段を制御するように構成されていることを特徴とする請求項1に記載の装置。 - 前記チャンバは、前記エッチャーが取り付けられる本体、前記測定手段とウエハカセットが取り付けられるファクトリーインタフェース、及び前記本体とファクトリーインタフェス間に移送チャンバを有し、
前記移送機構は、前記測定手段、移送チャンバ及びウエハカセット間で前記ウエハを移送するためのロボットを有することを特徴とする請求項7に記載の装置。 - 更に、前記本体に取り付けられ、前記第1の処理がウエハ上で行なわれた後に前記ウエハから残留物を除去するためのアッシングストリップ処理装置を有することを特徴とする請求項10に記載の装置。
- 更に、前記ファクトリーインタフェースに取り付けられ、前記ウエハをクリーニングするためのクリーニングモジュールを有し、
前記プロセッサは、前記カセットから前記測定手段へ前記ウエハを移送し、前記ターゲットフィーチャのCDが測定された後に前記測定手段から前記第1の処理手段へ前記ウエハを移送し、前記第1の処理がウエハ上で行なわれた後に前記第1の処理手段から前記クリーニングモジュールへ前記ウエハを移送し、且つ、前記ウエハがクリーニングされた後に前記クリーニングモジュールから前記カセットへ前記ウエハを移送するように構成されていることを特徴とする請求項10に記載の装置。 - 半導体ウエハを処理するための方法であって、
(a)ウエハかセットから前記ウエハを取り出すステップと、
(b)測定手段において前記ウエハ上の構造の寸法を測定するステップと、
(c)前記寸法の測定に基づいて処理パラメータの値の組を用いて処理手段において前記ウエハ上で処理を行なうステップと、
(d)前記寸法を再測定するステップと、
(e)前記ウエハを前記カセットへ戻すステップと、
を有し、
前記ステップ(a)-(e)は、隣接するきれいな環境内で行なわれることを特徴とする方法。 - 前記寸法の再測定を用いて連続して処理されたウエハに対して前記処理パラメータの値の組を選択するステップを有することを特徴とする請求項13に記載の方法。
- 前記ウエハ上でエッチング処理を行なうステップを有することを特徴とする請求項13に記載の方法。
- 前記エッチング処理を行なった後、前記ウエハを前記カセットへ戻す前に前記ウエハをクリーニングするステップを有することを特徴とする請求項15に記載の方法。
- 前記チャンバは複数の処理手段を取り付けるための本体を有し、前記第1の処理手段は前記本体に取り付けられ、且つ前記移送機構はロボットを有することを特徴とする請求項1に記載の装置。
- 前記測定手段は前記本体に取り付けられることを特徴とする請求項17に記載の装置。
- 更に、前記ファクトリーインタフェースに取り付けられ、且つ前記移送機構と連通している粒子モニターを有することを特徴とする請求項10に記載の装置。
- 半導体ウエハを処理するための装置であって、
前記ウエハ上のターゲットフィーチャのCDを表わす波形を得るために、前記ウエハをイメージ化するための測定手段と、
複数の参照波形をストアする記憶装置、各々の参照波形は、参照CDを表わし、第1の処理パラメータ値の異なる既知の組と関連され、且つ
前記ウエハ上で第1の処理を行うための第1の処理パラメータ値を得るために、ターゲットフィーチャの波形と殆ど一致する前記参照波形を識別するように構成されたプロセッサと、
を有することを特徴とする装置。 - 前記ウエハ上で前記第1の処理を行なうための第1の処理手段と、
前記測定手段と前記第1の処理手段との間で前記ウエハを移送するための移送機構と、
前期移送機構を含み、きれいな環境内の前記移送機構、測定手段、及び第1の処理手段間で連通可能なチャンバと、
を有し、
前記プロセッサは、更に、 前記第1の組の処理パラメータ値を用いて前記ウエハ上で前記第1の処理を行なうために前記第1の処理手段を制御するように構成されたプロセッサを有することを特徴とする請求項20に記載の装置。 - 前記プロセッサは、更に、前記ターゲットフィーチャのDCのイメージングに基づいて第2の組の処理パラメータ値を選択し、且つ、前記第2の組の処理パラメータ値を前に行った処理手段へ与えるように構成されていることを特徴とする請求項21に記載の装置。
- 前記測定手段は、前記ターゲットフィーチャのCDを光学的にイメージ化することとを特徴とする請求項21に記載の装置。
- 前記第1の処理手段はエッチャーを有し、前記第1の処理パラメータ値はエッチングレシピを有することを特徴とする請求項21に記載の装置。
- 前記プロセッサは、前記第1の処理がウエハ上で行なわれた後前記第1の処理手段から前記測定手段へ前記ウエハを移送するために、前記移送機構を制御し、且つ
前記ウエハの寸法を再測定するために前記測定手段を制御するように構成されていることを特徴とする請求項21に記載の装置。 - 前記チャンバは、第1の処理手段を含む複数の処理手段を取り付けるための本体と、前記測定手段を収容し、ウエハカセットを取り付けるためのファクトリーインタフェースと、前記本体とファクトリーインタフェース間に、及び前記本体とファクトリーインタフェースと連通する移送チャンバとを有し、
前記移送機構は、前記測定手段、移送チャンバ及びウエハカッセト間で前記ウエハを移送するための第1のロボット、及び前記移送チャンバと第1の処理手段との間で前記ウエハを移送するための第2のロボットを有することを特徴とする請求項21に記載の装置。 - 前記チャンバは、前記エッチャーが取り付けられる本体、前記測定手段とウエハカセットが取り付けられるファクトリーインタフェース、及び前記本体とファクトリーインタフェス間の移送チャンバを有し、
前記移送機構は、前記測定手段、移送チャンバ及びウエハカセット間で前記ウエハを移送するためのロボットを有することを特徴とする請求項21に記載の装置。 - 前記参照波形の1つをゴールデン波形として選択するステップと、
前記ターゲットフィーチャの波形を前記ゴールデン波形と比較するステップと、
前記ターゲットフィーチャの波形が前記ゴールデン波形から所定のスレッショルド量より多くずれている場合、前記ターゲット波形に最も近く一致している参照波形を識別するために、前記ターゲットフィーチャの波形をライブラリーにある他の参照波形と比較するステップと、
を有することを特徴とする請求項20に記載の装置。 - 前記前に行った処理手段は、ホトリソグラフの処理手段であり、前記第2の組の処理パラメータ値は、ステッパーの焦点と露出設定を有することを特徴とする請求項22に記載の装置。
- 前記ウエハ上の構造は、ホトレジスト層におけるパターンであり、前記第1の処理手段は第1のエッチャーであり、且つ、前記第1の処理パラメータ値は前記ホトレジスト層のパターンをトリミングするための第1のエッチングレシピを有し、
前記プロセッサは、更に、
前記第1の処理が前記ウエハ上で行なわれた後に前記第1のエッチャーから前記測定手段へ前記ウエハを移送するために前記移送機構を制御し、
前記ホトレジストパターンの寸法を再測定するために前記移送機構を制御し、
前記ホトレジストパターンの寸法が再測定された後に前記測定手段から第2のエッチャーへ前記ウエハを移送するために前記移送機構を制御し、
エッチングされたフィーチャを形成するために第2のエッチングレシピを用いて前記ホトレジストパターンの下にあるウエハの層上で第2の処理を行うために前記第2のエッチャーを制御し、且つ、
前記ホトレジストパターンの寸法の再測定を用いてその後処理されたウエハのために前記第1の組の処理パラメータ値を選択するように構成されることを特徴とする請求項1に記載の装置。 - 前記プロセッサは、更に、前記第2の処理が行なわれた後に前記第2のエッチャーから前記測定手段へ前記ウエハを移送するために前記移送機構を制御し、且つ、前記エッチングされたフィーチャの寸法を測定するために前記測定手段を制御するように構成されることを特徴とする請求項30に記載の装置。
- 前記プロセッサは、更に、前記エッチングされたフィーチャの寸法の測定を用いてその後処理されるウエハに対して前記第2の組のプロセスパラメータ値を選択するように構成されることを特徴とする請求項31に記載の装置。
- 前記プロセッサは、更に、前記エッチングされたフィーチャの寸法の測定を用いてその後処理されるウエハに対して前記第1の組のプロセスパラメータ値を選択するように構成されることを特徴とする請求項32に記載の装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/901,462 US6625497B2 (en) | 2000-11-20 | 2001-07-10 | Semiconductor processing module with integrated feedback/feed forward metrology |
PCT/US2002/020705 WO2003007365A2 (en) | 2001-07-10 | 2002-07-01 | Semiconductor processing module with integrated feedback/feed forward metrology |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005521235A true JP2005521235A (ja) | 2005-07-14 |
JP2005521235A5 JP2005521235A5 (ja) | 2006-01-05 |
Family
ID=25414232
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003513033A Pending JP2005521235A (ja) | 2001-07-10 | 2002-07-01 | 半導体ウエハの処理装置及び方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US6625497B2 (ja) |
EP (1) | EP1405338A2 (ja) |
JP (1) | JP2005521235A (ja) |
KR (1) | KR20040020906A (ja) |
AU (1) | AU2002316463A1 (ja) |
TW (1) | TW546697B (ja) |
WO (1) | WO2003007365A2 (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006173579A (ja) * | 2004-11-16 | 2006-06-29 | Tokyo Electron Ltd | 露光条件設定方法、基板処理装置およびコンピュータプログラム |
JP2008166734A (ja) * | 2006-11-30 | 2008-07-17 | Asml Netherlands Bv | インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法 |
JPWO2007058240A1 (ja) * | 2005-11-16 | 2009-05-07 | 株式会社ニコン | 基板処理方法、フォトマスクの製造方法及びフォトマスク、並びにデバイス製造方法 |
JP2012165214A (ja) * | 2011-02-07 | 2012-08-30 | Murata Mfg Co Ltd | 成膜システム及び成膜方法 |
US9966316B2 (en) | 2016-05-25 | 2018-05-08 | Toshiba Memory Corporation | Deposition supporting system, depositing apparatus and manufacturing method of a semiconductor device |
CN109429528A (zh) * | 2016-06-02 | 2019-03-05 | 环球仪器公司 | 半导体晶粒偏移补偿变化 |
Families Citing this family (101)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7187994B1 (en) * | 2000-08-18 | 2007-03-06 | Kla-Tencor Technologies Corp. | Method of interfacing ancillary equipment to FIMS processing stations |
US6625497B2 (en) * | 2000-11-20 | 2003-09-23 | Applied Materials Inc. | Semiconductor processing module with integrated feedback/feed forward metrology |
US7188142B2 (en) | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
US7282889B2 (en) * | 2001-04-19 | 2007-10-16 | Onwafer Technologies, Inc. | Maintenance unit for a sensor apparatus |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
JP3708031B2 (ja) * | 2001-06-29 | 2005-10-19 | 株式会社日立製作所 | プラズマ処理装置および処理方法 |
US6773939B1 (en) * | 2001-07-02 | 2004-08-10 | Advanced Micro Devices, Inc. | Method and apparatus for determining critical dimension variation in a line structure |
DE10294520B4 (de) * | 2001-07-31 | 2007-05-16 | Asahi Chemical Micro Syst | Steuerungssystem für eine Halbleiterherstellungsvorrichtung |
US6728591B1 (en) * | 2001-08-01 | 2004-04-27 | Advanced Micro Devices, Inc. | Method and apparatus for run-to-run control of trench profiles |
US6950716B2 (en) | 2001-08-13 | 2005-09-27 | Applied Materials, Inc. | Dynamic control of wafer processing paths in semiconductor manufacturing processes |
US20030037090A1 (en) * | 2001-08-14 | 2003-02-20 | Koh Horne L. | Tool services layer for providing tool service functions in conjunction with tool functions |
US6984198B2 (en) * | 2001-08-14 | 2006-01-10 | Applied Materials, Inc. | Experiment management system, method and medium |
WO2003026001A2 (en) * | 2001-09-18 | 2003-03-27 | Applied Materials, Inc. | Integrated equipment set for forming an interconnect on a substrate |
US20030220708A1 (en) * | 2001-11-28 | 2003-11-27 | Applied Materials, Inc. | Integrated equipment set for forming shallow trench isolation regions |
JP3686866B2 (ja) * | 2001-12-18 | 2005-08-24 | 株式会社日立製作所 | 半導体製造装置及び製造方法 |
US6960416B2 (en) * | 2002-03-01 | 2005-11-01 | Applied Materials, Inc. | Method and apparatus for controlling etch processes during fabrication of semiconductor devices |
US6858361B2 (en) * | 2002-03-01 | 2005-02-22 | David S. L. Mui | Methodology for repeatable post etch CD in a production tool |
US7225047B2 (en) * | 2002-03-19 | 2007-05-29 | Applied Materials, Inc. | Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements |
US6751518B1 (en) * | 2002-04-29 | 2004-06-15 | Advanced Micro Devices, Inc. | Dynamic process state adjustment of a processing tool to reduce non-uniformity |
US6895295B1 (en) * | 2002-05-06 | 2005-05-17 | Advanced Micro Devices, Inc. | Method and apparatus for controlling a multi-chamber processing tool |
US20040206621A1 (en) * | 2002-06-11 | 2004-10-21 | Hongwen Li | Integrated equipment set for forming a low K dielectric interconnect on a substrate |
US20040007325A1 (en) * | 2002-06-11 | 2004-01-15 | Applied Materials, Inc. | Integrated equipment set for forming a low K dielectric interconnect on a substrate |
US6924088B2 (en) * | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method and system for realtime CD microloading control |
US7668702B2 (en) * | 2002-07-19 | 2010-02-23 | Applied Materials, Inc. | Method, system and medium for controlling manufacturing process using adaptive models based on empirical data |
DE10252614A1 (de) * | 2002-11-12 | 2004-05-27 | Infineon Technologies Ag | Verfahren, Vorrichtung, computerlesbares Speichermedium und Computerprogramm-Element zum Überwachen eines Herstellungsprozesses einer Mehrzahl von physikalischen Objekten |
US7265382B2 (en) * | 2002-11-12 | 2007-09-04 | Applied Materials, Inc. | Method and apparatus employing integrated metrology for improved dielectric etch efficiency |
WO2004046835A2 (en) | 2002-11-15 | 2004-06-03 | Applied Materials, Inc. | Method, system and medium for controlling manufacture process having multivariate input parameters |
US6939476B1 (en) * | 2002-11-20 | 2005-09-06 | National Semiconductor Corporation | Method for real time metal ETCH critical dimension control |
US6830941B1 (en) * | 2002-12-17 | 2004-12-14 | Advanced Micro Devices, Inc. | Method and apparatus for identifying individual die during failure analysis |
US20040193322A1 (en) * | 2003-01-09 | 2004-09-30 | Paolo Pirjanian | Vision-and environment-based programming of robots and/or computer systems |
US6934929B2 (en) * | 2003-01-13 | 2005-08-23 | Lsi Logic Corporation | Method for improving OPC modeling |
US7698665B2 (en) * | 2003-04-06 | 2010-04-13 | Luminescent Technologies, Inc. | Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern |
US7124394B1 (en) * | 2003-04-06 | 2006-10-17 | Luminescent Technologies, Inc. | Method for time-evolving rectilinear contours representing photo masks |
US7480889B2 (en) | 2003-04-06 | 2009-01-20 | Luminescent Technologies, Inc. | Optimized photomasks for photolithography |
US20040200574A1 (en) * | 2003-04-11 | 2004-10-14 | Applied Materials, Inc. | Method for controlling a process for fabricating integrated devices |
US6889149B2 (en) * | 2003-04-25 | 2005-05-03 | Asm International N.V. | System and method for fingerprinting of semiconductor processing tools |
US6808942B1 (en) | 2003-05-23 | 2004-10-26 | Texas Instruments Incorporated | Method for controlling a critical dimension (CD) in an etch process |
US7228257B1 (en) * | 2003-06-13 | 2007-06-05 | Lam Research Corporation | Architecture for general purpose programmable semiconductor processing system and methods therefor |
JP4694150B2 (ja) * | 2003-06-20 | 2011-06-08 | 東京エレクトロン株式会社 | 処理方法及び処理システム |
US7085676B2 (en) * | 2003-06-27 | 2006-08-01 | Tokyo Electron Limited | Feed forward critical dimension control |
US6911399B2 (en) * | 2003-09-19 | 2005-06-28 | Applied Materials, Inc. | Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition |
US8036869B2 (en) * | 2003-09-30 | 2011-10-11 | Tokyo Electron Limited | System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model |
US8050900B2 (en) * | 2003-09-30 | 2011-11-01 | Tokyo Electron Limited | System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process |
US8073667B2 (en) * | 2003-09-30 | 2011-12-06 | Tokyo Electron Limited | System and method for using first-principles simulation to control a semiconductor manufacturing process |
US8032348B2 (en) * | 2003-09-30 | 2011-10-04 | Tokyo Electron Limited | System and method for using first-principles simulation to facilitate a semiconductor manufacturing process |
US7094613B2 (en) * | 2003-10-21 | 2006-08-22 | Applied Materials, Inc. | Method for controlling accuracy and repeatability of an etch process |
US20050136335A1 (en) * | 2003-12-17 | 2005-06-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Patterned microelectronic mask layer formation method employing multiple feed-forward linewidth measurement |
US6999848B2 (en) * | 2003-12-19 | 2006-02-14 | Intel Corporation | Process control apparatus, systems, and methods |
US7018855B2 (en) | 2003-12-24 | 2006-03-28 | Lam Research Corporation | Process controls for improved wafer uniformity using integrated or standalone metrology |
US7250309B2 (en) * | 2004-01-09 | 2007-07-31 | Applied Materials, Inc. | Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control |
US7289864B2 (en) * | 2004-07-12 | 2007-10-30 | International Business Machines Corporation | Feature dimension deviation correction system, method and program product |
US7431795B2 (en) * | 2004-07-29 | 2008-10-07 | Applied Materials, Inc. | Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor |
JP2006128572A (ja) * | 2004-11-01 | 2006-05-18 | Tokyo Electron Ltd | 露光条件補正方法、基板処理装置およびコンピュータプログラム |
US7601272B2 (en) * | 2005-01-08 | 2009-10-13 | Applied Materials, Inc. | Method and apparatus for integrating metrology with etch processing |
US20060154388A1 (en) * | 2005-01-08 | 2006-07-13 | Richard Lewington | Integrated metrology chamber for transparent substrates |
US20060162658A1 (en) * | 2005-01-27 | 2006-07-27 | Applied Materials, Inc. | Ruthenium layer deposition apparatus and method |
US20060240651A1 (en) * | 2005-04-26 | 2006-10-26 | Varian Semiconductor Equipment Associates, Inc. | Methods and apparatus for adjusting ion implant parameters for improved process control |
JP2006339364A (ja) * | 2005-06-01 | 2006-12-14 | Toshiba Corp | 洗浄方法及び洗浄装置 |
WO2007033362A2 (en) * | 2005-09-13 | 2007-03-22 | Luminescent Technologies, Inc. | Systems, masks, and methods for photolithography |
WO2007041600A2 (en) * | 2005-10-03 | 2007-04-12 | Luminescent Technologies, Inc. | Mask-pattern determination using topology types |
US7788627B2 (en) * | 2005-10-03 | 2010-08-31 | Luminescent Technologies, Inc. | Lithography verification using guard bands |
US7793253B2 (en) * | 2005-10-04 | 2010-09-07 | Luminescent Technologies, Inc. | Mask-patterns including intentional breaks |
WO2007044557A2 (en) | 2005-10-06 | 2007-04-19 | Luminescent Technologies, Inc. | System, masks, and methods for photomasks optimized with approximate and accurate merit functions |
US7962113B2 (en) * | 2005-10-31 | 2011-06-14 | Silicon Laboratories Inc. | Receiver with multi-tone wideband I/Q mismatch calibration and method therefor |
US7631286B2 (en) * | 2005-12-30 | 2009-12-08 | Wafertech Llc | Automated metrology recipe generation |
DE102006004430B4 (de) * | 2006-01-31 | 2010-06-10 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen |
US20080011322A1 (en) * | 2006-07-11 | 2008-01-17 | Frank Weber | Cleaning systems and methods |
US8331645B2 (en) * | 2006-09-20 | 2012-12-11 | Luminescent Technologies, Inc. | Photo-mask and wafer image reconstruction |
US8644588B2 (en) * | 2006-09-20 | 2014-02-04 | Luminescent Technologies, Inc. | Photo-mask and wafer image reconstruction |
JP5165878B2 (ja) * | 2006-10-20 | 2013-03-21 | 東京エレクトロン株式会社 | 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体 |
US7493186B2 (en) * | 2006-12-20 | 2009-02-17 | International Business Machines Corporation | Method and algorithm for the control of critical dimensions in a thermal flow process |
US8688254B2 (en) * | 2007-06-15 | 2014-04-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple tools using a single data processing unit |
EP2286447A2 (en) * | 2008-05-21 | 2011-02-23 | KLA-Tencor Corporation | Substrate matrix to decouple tool and process effects |
US8232212B2 (en) * | 2008-07-11 | 2012-07-31 | Applied Materials, Inc. | Within-sequence metrology based process tuning for adaptive self-aligned double patterning |
KR100985675B1 (ko) * | 2008-11-17 | 2010-10-05 | 하가전자 주식회사 | 전등 스위치선에 연결되어 사용되는 방안 온도조절기 |
US9232670B2 (en) | 2010-02-02 | 2016-01-05 | Apple Inc. | Protection and assembly of outer glass surfaces of an electronic device housing |
US8463016B2 (en) * | 2010-02-05 | 2013-06-11 | Luminescent Technologies, Inc. | Extending the field of view of a mask-inspection image |
US8555214B2 (en) | 2010-09-14 | 2013-10-08 | Luminescent Technologies, Inc. | Technique for analyzing a reflective photo-mask |
US8612903B2 (en) | 2010-09-14 | 2013-12-17 | Luminescent Technologies, Inc. | Technique for repairing a reflective photo-mask |
US8458622B2 (en) | 2010-11-29 | 2013-06-04 | Luminescent Technologies, Inc. | Photo-mask acceptance technique |
US8386968B2 (en) | 2010-11-29 | 2013-02-26 | Luminescent Technologies, Inc. | Virtual photo-mask critical-dimension measurement |
US8193005B1 (en) | 2010-12-13 | 2012-06-05 | International Business Machines Corporation | MEMS process method for high aspect ratio structures |
US8666530B2 (en) | 2010-12-16 | 2014-03-04 | Electro Scientific Industries, Inc. | Silicon etching control method and system |
US9005852B2 (en) | 2012-09-10 | 2015-04-14 | Dino Technology Acquisition Llc | Technique for repairing a reflective photo-mask |
TWI456684B (zh) * | 2011-06-29 | 2014-10-11 | Grand Plastic Technology Co Ltd | 濕製程設備晶圓夾自動進出旋乾機之裝置 |
US8653454B2 (en) | 2011-07-13 | 2014-02-18 | Luminescent Technologies, Inc. | Electron-beam image reconstruction |
US9064807B2 (en) * | 2013-02-27 | 2015-06-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated platform for improved wafer manufacturing quality |
US9091935B2 (en) | 2013-03-11 | 2015-07-28 | Kla-Tencor Corporation | Multistage extreme ultra-violet mask qualification |
US9494854B2 (en) | 2013-03-14 | 2016-11-15 | Kla-Tencor Corporation | Technique for repairing an EUV photo-mask |
WO2016037003A1 (en) | 2014-09-03 | 2016-03-10 | Kla-Tencor Corporation | Optimizing the utilization of metrology tools |
CN107636817B (zh) | 2015-05-22 | 2021-08-27 | 应用材料公司 | 方位可调整的多区域静电夹具 |
US10234401B2 (en) * | 2016-02-22 | 2019-03-19 | Qoniac Gmbh | Method of manufacturing semiconductor devices by using sampling plans |
JP7402399B2 (ja) * | 2018-03-20 | 2023-12-21 | 東京エレクトロン株式会社 | 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法 |
US10727143B2 (en) * | 2018-07-24 | 2020-07-28 | Lam Research Corporation | Method for controlling core critical dimension variation using flash trim sequence |
US11574846B2 (en) * | 2019-12-15 | 2023-02-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate formation of semiconductor devices |
WO2021225587A1 (en) * | 2020-05-06 | 2021-11-11 | Kla Corporation | Inter-step feedforward process control in the manufacture of semiconductor devices |
US11688616B2 (en) | 2020-07-22 | 2023-06-27 | Applied Materials, Inc. | Integrated substrate measurement system to improve manufacturing process performance |
CN112133631B (zh) * | 2020-09-25 | 2022-11-18 | 上海华力微电子有限公司 | 改善栅极刻蚀形貌稳定性的方法和刻蚀设备 |
CN112327581B (zh) * | 2020-10-29 | 2023-08-18 | 中国科学院微电子研究所 | 用于获取最佳曝光剂量的设计版图的优化方法及电子束曝光方法 |
US12013350B2 (en) * | 2021-05-05 | 2024-06-18 | Onto Innovation Inc. | Effective cell approximation model for logic structures |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5795056A (en) | 1980-12-05 | 1982-06-12 | Hitachi Ltd | Appearance inspecting process |
JPS61290312A (ja) | 1985-06-19 | 1986-12-20 | Hitachi Ltd | 断面形状測定装置 |
US5109430A (en) | 1986-07-22 | 1992-04-28 | Schlumberger Technologies, Inc. | Mask alignment and measurement of critical dimensions in integrated circuits |
US4911103A (en) | 1987-07-17 | 1990-03-27 | Texas Instruments Incorporated | Processing apparatus and method |
US5171393A (en) | 1991-07-29 | 1992-12-15 | Moffat William A | Wafer processing apparatus |
US5653894A (en) | 1992-12-14 | 1997-08-05 | Lucent Technologies Inc. | Active neural network determination of endpoint in a plasma etch process |
TW276353B (ja) * | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
IL107549A (en) * | 1993-11-09 | 1996-01-31 | Nova Measuring Instr Ltd | Device for measuring the thickness of thin films |
US5452521A (en) * | 1994-03-09 | 1995-09-26 | Niewmierzycki; Leszek | Workpiece alignment structure and method |
US5633714A (en) * | 1994-12-19 | 1997-05-27 | International Business Machines Corporation | Preprocessing of image amplitude and phase data for CD and OL measurement |
US5607800A (en) | 1995-02-15 | 1997-03-04 | Lucent Technologies Inc. | Method and arrangement for characterizing micro-size patterns |
US5711849A (en) | 1995-05-03 | 1998-01-27 | Daniel L. Flamm | Process optimization in gas phase dry etching |
US6001699A (en) * | 1996-01-23 | 1999-12-14 | Intel Corporation | Highly selective etch process for submicron contacts |
US5805290A (en) * | 1996-05-02 | 1998-09-08 | International Business Machines Corporation | Method of optical metrology of unresolved pattern arrays |
US5944940A (en) | 1996-07-09 | 1999-08-31 | Gamma Precision Technology, Inc. | Wafer transfer system and method of using the same |
US6143081A (en) | 1996-07-12 | 2000-11-07 | Tokyo Electron Limited | Film forming apparatus and method, and film modifying apparatus and method |
US6203582B1 (en) * | 1996-07-15 | 2001-03-20 | Semitool, Inc. | Modular semiconductor workpiece processing tool |
US5948203A (en) * | 1996-07-29 | 1999-09-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring |
US5913102A (en) | 1997-03-20 | 1999-06-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming patterned photoresist layers with enhanced critical dimension uniformity |
US5926690A (en) | 1997-05-28 | 1999-07-20 | Advanced Micro Devices, Inc. | Run-to-run control process for controlling critical dimensions |
US5965309A (en) | 1997-08-28 | 1999-10-12 | International Business Machines Corporation | Focus or exposure dose parameter control system using tone reversing patterns |
US5976740A (en) | 1997-08-28 | 1999-11-02 | International Business Machines Corporation | Process for controlling exposure dose or focus parameters using tone reversing pattern |
US6161054A (en) * | 1997-09-22 | 2000-12-12 | On-Line Technologies, Inc. | Cell control method and apparatus |
US5963329A (en) | 1997-10-31 | 1999-10-05 | International Business Machines Corporation | Method and apparatus for measuring the profile of small repeating lines |
US6148239A (en) | 1997-12-12 | 2000-11-14 | Advanced Micro Devices, Inc. | Process control system using feed forward control threads based on material groups |
US6054710A (en) | 1997-12-18 | 2000-04-25 | Cypress Semiconductor Corp. | Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy |
US6452677B1 (en) * | 1998-02-13 | 2002-09-17 | Micron Technology Inc. | Method and apparatus for detecting defects in the manufacture of an electronic device |
US6033814A (en) | 1998-02-26 | 2000-03-07 | Micron Technology, Inc. | Method for multiple process parameter matching |
US6067357A (en) * | 1998-03-04 | 2000-05-23 | Genesys Telecommunications Laboratories Inc. | Telephony call-center scripting by Petri Net principles and techniques |
IL125338A0 (en) * | 1998-07-14 | 1999-03-12 | Nova Measuring Instr Ltd | Method and apparatus for monitoring and control of photolithography exposure and processing tools |
EP0973068A3 (en) * | 1998-07-14 | 2001-05-30 | Nova Measuring Instruments Limited | Method and system for controlling the photolithography process |
JP3838788B2 (ja) * | 1998-09-04 | 2006-10-25 | ユニ・チャーム株式会社 | ウェットティッシュの積層体並びにこれが収納された製品 |
US6225639B1 (en) * | 1999-08-27 | 2001-05-01 | Agere Systems Guardian Corp. | Method of monitoring a patterned transfer process using line width metrology |
DE19952195A1 (de) * | 1999-10-29 | 2001-05-17 | Infineon Technologies Ag | Anlage zur Bearbeitung von Wafern |
US6245581B1 (en) | 2000-04-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method and apparatus for control of critical dimension using feedback etch control |
US6806951B2 (en) * | 2000-09-20 | 2004-10-19 | Kla-Tencor Technologies Corp. | Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen |
US6625497B2 (en) * | 2000-11-20 | 2003-09-23 | Applied Materials Inc. | Semiconductor processing module with integrated feedback/feed forward metrology |
US6707562B1 (en) * | 2001-07-02 | 2004-03-16 | Advanced Micro Devices, Inc. | Method of using scatterometry measurements to control photoresist etch process |
-
2001
- 2001-07-10 US US09/901,462 patent/US6625497B2/en not_active Expired - Fee Related
-
2002
- 2002-07-01 AU AU2002316463A patent/AU2002316463A1/en not_active Abandoned
- 2002-07-01 KR KR10-2003-7015045A patent/KR20040020906A/ko active IP Right Grant
- 2002-07-01 EP EP02746770A patent/EP1405338A2/en not_active Withdrawn
- 2002-07-01 JP JP2003513033A patent/JP2005521235A/ja active Pending
- 2002-07-01 WO PCT/US2002/020705 patent/WO2003007365A2/en not_active Application Discontinuation
- 2002-07-10 TW TW091115367A patent/TW546697B/zh active
- 2002-11-25 US US10/302,862 patent/US20030106642A1/en not_active Abandoned
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006173579A (ja) * | 2004-11-16 | 2006-06-29 | Tokyo Electron Ltd | 露光条件設定方法、基板処理装置およびコンピュータプログラム |
JP4527652B2 (ja) * | 2004-11-16 | 2010-08-18 | 東京エレクトロン株式会社 | 露光条件設定方法、基板処理装置およびコンピュータプログラム |
JPWO2007058240A1 (ja) * | 2005-11-16 | 2009-05-07 | 株式会社ニコン | 基板処理方法、フォトマスクの製造方法及びフォトマスク、並びにデバイス製造方法 |
JP4968589B2 (ja) * | 2005-11-16 | 2012-07-04 | 株式会社ニコン | 基板処理方法、フォトマスクの製造方法及びフォトマスク、並びにデバイス製造方法 |
JP2008166734A (ja) * | 2006-11-30 | 2008-07-17 | Asml Netherlands Bv | インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法 |
JP2012165214A (ja) * | 2011-02-07 | 2012-08-30 | Murata Mfg Co Ltd | 成膜システム及び成膜方法 |
US9966316B2 (en) | 2016-05-25 | 2018-05-08 | Toshiba Memory Corporation | Deposition supporting system, depositing apparatus and manufacturing method of a semiconductor device |
CN109429528A (zh) * | 2016-06-02 | 2019-03-05 | 环球仪器公司 | 半导体晶粒偏移补偿变化 |
Also Published As
Publication number | Publication date |
---|---|
WO2003007365A2 (en) | 2003-01-23 |
KR20040020906A (ko) | 2004-03-09 |
WO2003007365A3 (en) | 2003-08-28 |
US20030106642A1 (en) | 2003-06-12 |
AU2002316463A1 (en) | 2003-01-29 |
EP1405338A2 (en) | 2004-04-07 |
TW546697B (en) | 2003-08-11 |
US6625497B2 (en) | 2003-09-23 |
US20020155629A1 (en) | 2002-10-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6625497B2 (en) | Semiconductor processing module with integrated feedback/feed forward metrology | |
US6858361B2 (en) | Methodology for repeatable post etch CD in a production tool | |
US6486492B1 (en) | Integrated critical dimension control for semiconductor device manufacturing | |
US6924088B2 (en) | Method and system for realtime CD microloading control | |
JP5416329B2 (ja) | 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置 | |
US7498106B2 (en) | Method and apparatus for controlling etch processes during fabrication of semiconductor devices | |
TWI784018B (zh) | 用於使用半導體製造程序中之深度學習預測缺陷及臨界尺寸之系統及方法 | |
TWI410822B (zh) | 先進製程控制方法和系統 | |
JP4990548B2 (ja) | 半導体装置の製造方法 | |
JP5028473B2 (ja) | ウェハ均一性制御を用いた動的サンプリング測定法 | |
US7301645B2 (en) | In-situ critical dimension measurement | |
JP2009531866A5 (ja) | ||
US11300887B2 (en) | Method to change an etch parameter | |
CN100403505C (zh) | 生产工具中实现可重复蚀刻后临界尺寸的方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20050622 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050622 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080519 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20081020 |