KR20040020906A - 집적된 피드백/피드포워드 측정장비를 갖는 반도체프로세싱 모듈 - Google Patents

집적된 피드백/피드포워드 측정장비를 갖는 반도체프로세싱 모듈 Download PDF

Info

Publication number
KR20040020906A
KR20040020906A KR10-2003-7015045A KR20037015045A KR20040020906A KR 20040020906 A KR20040020906 A KR 20040020906A KR 20037015045 A KR20037015045 A KR 20037015045A KR 20040020906 A KR20040020906 A KR 20040020906A
Authority
KR
South Korea
Prior art keywords
wafer
processing
measuring instrument
instrument
waveform
Prior art date
Application number
KR10-2003-7015045A
Other languages
English (en)
Inventor
페어베어른케빈피
수보
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040020906A publication Critical patent/KR20040020906A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32182If state of tool, product deviates from standard, adjust system, feedback
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32189Compare between original solid model and measured manufactured object
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

CD 변화를 줄이기 위해 반도체 웨이퍼를 프로세싱하는 방법 및 장치가 웨이퍼를 검사하는 동안 수집된 정보를 이전에 거친 프로세싱 기구로 피드백하고, 그리고, 웨이퍼가 거치게 되는 다음의 프로세스를 조정하기 위해 정보를 피드포워드한다. 검사 및 프로세싱은 대기가 둘러싸고 있는 조건에서 웨이퍼를 노출시키지 않은 채 단일 프로세싱 모듈에서 수행된다. 실시 형태들은 웨이퍼를 웨이퍼 카세트로부터 제거시키고, 그리고 광학적 측정 기구를 이용하여 형상의 CD와 같은 웨이퍼 표면상의 형상의 치수를 측정하는 것을 포함한다. 이 후, CD 측정에 기초하여 선택되는 에칭 레서피와 같은 프로세스 파라미터 값들의 세트를 이용하여 에칭 프로세스와 같은 프로세스가 웨이퍼 상에서 수행되며, 그리고 웨이퍼가 카세트로 되돌아오게 된다. CD 측정들은 또한 스테퍼 포커스와 노광 세팅들과 같은 파라미터들에 조절 가능한 포토리소그래피와 연결된다. 포커스와 노광상에 연결된 정보들은 이전에 거친 포토셀로 피드백되어 자동적으로 혹은 사용자의 선택에 따라 스테퍼가 조정될 수 있으며, 이어지는 로트들 내에서의 차이를 수정할 수 있다. 어떤 실시형태들에서는, 웨이퍼가 카세트로 되돌아오게 되기 전에 모듈에 의해서 애쉬 스트립핑 (ash stripping), 웨트 세정 (wet cleaning) 및/또는 더 나아가 CD 측정과 같은 포스트-에칭 프로세싱 수행된다. 모듈에 의해 수행되는 모든 운반 및 프로세싱 단계들은 클린 환경하에서 수행되며, 따라서 웨이퍼를 대기중에 노출시키거고 단계들간의 가능한 결합을 피함으로써 수율을 증가시킬 수 있다. 이러한 피드백 및 피드포워드 메커니즘은 웨이퍼의 측정 CD에 기초하여 모든 웨이퍼에 대한 프로세싱 파라미터들을 조정함으로써 CD 제어를 향상시킨다.

Description

집적된 피드백/피드포워드 측정장비를 갖는 반도체 프로세싱 모듈 {SEMICONDUCTOR PROCESSING MODULE WITH INTEGRATED FEEDBACK/FEED FORWARD METROLOGY}
기술 분야
본 발명은 반도체 기판 상에서 수행되는 프로세싱을 모니터링하고 제어하는 방법 및 장치에 관한 것으로, 더욱 상세하게는 프로세스 동안의 형상들에 대한 검사를 통해 수집된 정보에 대한 피드백/피드포워드를 통하여 반도체 기판 상에 형성된 형상들의 임계 (critical) 치수를 제어하는 방법 및 장치에 관한 것이다. 본 발명은 특히 마이크론 이하의 설계 형상들을 갖는 고밀도 반도체 장치들을 제작하는 동안 반도체 웨이퍼에 대한 제조라인 (in-line) 에서의 검사에 적용될 수 있다.
배경기술
초대규모 집적과 관련하여 고밀도 및 고성능을 위해서 현재 마이크론 이하의 형상, 증가된 트랜지스터 및 회로 속도, 그리고 향상된 신뢰도가 요구된다. 이러한 요구는 높은 정확도 및 균일성을 갖는 장치 형상의 형성을 필요로 하며, 이것은 반도체 웨이퍼를 형성하는 동안에도 장치에 대해 빈번히 그리고 상세하게 검사를 하는 것을 포함하여, 주의깊게 프로세스를 모니터링하는 것이 필요하다.
주의깊게 검사하는데 필요한 하나의 중요한 프로세스는 포토리소그래피 (photolithography) 로, 회로 패턴들을 반도체 웨이퍼들로 변환하는데 마스크들이 필요하다. 통상적으로, 이러한 일련의 마스크들은 미리 정해진 순서에 따라 배열된다. 각각의 포토리소그래피 마스크는 웨이퍼 상으로 집적되는 회로 소자들에 해당하는 기하학적인 패턴들의 복잡한 세트를 포함한다. 일련의 마스크들 내에서의 각각의 마스크는 그에 해당하는 패턴을, 실리콘 웨이퍼 위에 형성된 폴리 실리콘 또는 금속 레이어와 같은 레이어 상에 미리 코팅된 감광성 레이어 (예를 들어, 포토레지스트 레이어) 위로 전사 (transfer) 하는 데 사용된다. 종래에 마스크 패턴의 포토레지스트 위로의 전사는, 마스크를 통해 빛 또는 다른 방사원을 직접 비추어 포토레지스트를 노광하는 스캐너 또는 스테퍼와 같은 노광 장치에 의해 수행된다. 그 후, 포토레지스트는 현상되어 포토레지스트 마스크를 형성하며, 밑에 깔린 폴리실리콘 또는 금속 레이어는 선로들 또는 게이트들과 같은 형상들을 형성하기 위해 선택적으로 에칭된다.
마스크의 제작은 프로세싱 및 설계 제한들에 의해 설정된 소정의 설계 원칙의 세트에 따라 진행된다. 이러한 설계 원칙들은 장치들과 상호연결 선로들 및 선로 자신들의 폭 사이의 공간적인 공차를 정의하여, 장치들 또는 선로들이 바람직하지 않은 방식으로 서로 겹치거나 상호작용하지 않도록 한다. 설계 원칙의 제한은, 장치의 제작에 있어 허용되는 선로의 최소 폭 또는 두 선로들 간의 최소 공간으로 정의되는 임계 치수 ("CD" : Critical Dimension) 로 불린다. 극초대집적회로 응용들에 있어서의 CD는 마이크론 단위의 제작에서와 거의 비슷하다.
설계 원칙들이 위축되고 프로세스 창들 (process windows, 예를 들어, 프로세싱에 있어서의 오차의 마진들) 이 작아질수록, 표면 형상들의 CD 뿐만 아니라 단면의 모양 ("프로파일") 에 대한 측정 및 검사가 더욱 더 중요해지고 있다. 설계 치수들로부터 형상들의 CD 및 프로파일의 오차는 완성된 반도체 장치의 동작에 불리하게 영향을 끼친다. 더 나아가, 형상들의 CD 및 프로파일의 측정은 스테퍼 포커스의 흐려짐 또는 과다 노광에 기인한 포토레지스트의 손실과 같은 프로세싱 문제점들을 나타낼 수도 있다.
그러므로, CD 및 프로파일 값들, 그리고 설계 치수들로부터의 형상 CD의 변화는 포토레지스트 및 에칭 프로세스들의 정확도 및 안정도에 대한 중요한 지시자들이며, 이러한 변화를 줄이기 위한 "CD 제어"는 반도체 프로세싱에 있어서 중요한 일부분이다. CD 제어는 필수적으로 포토리소그래피 및 에칭 프로세스들 둘 모두를 모니터링하고 조정하는 것을 포함하여 웨이퍼 내에서의 필드로부터 필드까지 (FTF), 웨이퍼로부터 웨이퍼까지 (WTW), 그리고 로트 (lot) 로부터 로트까지 (LTL) 의 CD 변화들을 저장한다. FTF, WTW 및 LTL 변화들 사이에서, WTW가 통상적으로 전체 CD 변화의 10% 미만을 점유하는데 비해, FTF 와 LTL은 중요한 변화 요소들이다. FTF 변화는 일반적으로 포토레지스트의 코팅 및 베이킹 균일성, 스테퍼 또는 스캐너 스테이지 레벨링, 그리고 마이크로-로딩 에칭의 균일성과 같은 프로세스 장치의 성능에 의해 결정된다. 한편, LTL 변화는 일반적으로 프로세스 장비의 안정도를 포함한 프로세스 안정도에 의해 결정된다.
현재의 CD들의 극도로 작은 크기 때문에, 포토리소그래피 프로세싱에 의해 생성되는 표면 형상들의 측정 및 검사를 위해 선택된 기구는 "CD-SEM (critical dimension - scanning electron microscope)"로 알려진 SEM (scanning electron microscope) 이다. 종래의 SEM들이 CD들을 측정하는데 유용하지만, 일반적으로 LTL 변화들을 줄이는 포토리소그래피 프로세스로 즉각적인 피드백을 제공하지는 못한다. SEM 측정은, 상대적으로 느리며 통상적으로 분리된 리뷰 스테이션에서 수행될 필요가 있으므로, "오프-라인 (off-line)"으로 수행된다. 결국, 종래의 SEM 검사들의 결과들은 통상적으로 다음의 에칭 프로세싱을 조정하는데 사용되지 않는다; 즉, 특정 웨이퍼의 CD 측정은 그 웨이퍼를 프로세싱하는데 어떤 에칭 수단이 사용될 지를 결정하는데 사용되지 않는다. 또한, CD-SEM 측정으로부터 수집되는 정보는 수율을 향상시키는데 도움이 될 가장 넓은 범위까지 활용되지는 않는다. 물리적으로 분리된 기구 (tool) 에서 반드시 발생하는 검사의 다른 결과로써, 웨이퍼들은 모든 검사가 실시되는 기구로 운반되거나 또한 기구로부터 운반되어야 한다. 이것은 웨이퍼들을 대기에 노출시키고, 그 결과 웨이퍼 표면의 원하지 않는 산화나 표면에 외래 입자들의 증착으로 인하여 수율을 감소시킨다.
CD가 결정적인 관련 과정은 "레지스트 트림(resist trim)"으로 알려져 있다. 이 기술분야에서 당업자라면, 서브-미크론 물체들을 포토레지스트 층에 노광시키기 위해 빛을 사용하는 포토리소그래피는 매우 비싸고 복잡하다는 것을 알 수 있을 것이다. 또한, 원하는 것보다 큰 물체들을 노광시키기 위한 포토리소그래피 장비들을 사용하기 위한 기술들이 개발되어 왔으며, 그 후 노광 후 노광된 물체들을 그들의 최종 크기로 "축소시키기(shrink)" 위한 레지스트 트림이라고 불리는 과정이 따라온다. 특히, 너무 큰 물체들이 노광되고 포토레지스트가 현상된 후, 웨이퍼는 에칭 챔버로 보내지고, 특히 고안된 "레지스트 에칭 단계", 일반적으로 현상된 레지스트 물체의 크기를 축소하는 등방성의 에칭 단계가 실시된다. 실제 물체 (예를 들면, 폴리실리콘 게이트 또는 금속 라인) 이 그 후에 에칭되고, 일반적으로 다른 에칭 레서피가 같거나 또는 다른 에칭 챔버에서 사용된다.
레지스트 트림 과정과 관련된 CD 변화를 모니터링하고 교정하기 위한 한 방법이 Toprac 등에 의한 미국 특허 제 5,926,690에 개시되어 있다. Toprac은 포토레지스트가 노광되고 현상되어 원하는 것보다 큰 물체들을 생성한 포토레지스트의 많은 웨이퍼들 중에서 하나 이상의 테스트 웨이퍼를 선택하고, CD-SEM에 있는 것과 같이 테스트 웨이퍼들로부터 대표하는 포토레지스트 물체를 측정하라고 가르친다. 그 후 웨이퍼들은 포토레지스트 에칭 단계, 게이트 단계를 거쳐 가공되고, 에칭된 물체의 CD가 측정된다. 그 후 CD 측정의 최초 및 최종 결과가 로트 (lot) 내에 남아있는 웨이퍼들을 위한 에칭 레서피를 그들의 CD 타겟 값들을 운전하도록 조정하기 위해서 사용된다. 다른 종래의 CD 모니터링 기술들과 같이, Toprac은 샘플 웨이퍼들의 CD (즉, 최초에 웨이퍼 상에 형성된 포토레지스트 형상들을 측정하고 나서 게이트들을 측정함) 를 SEM에서 오프라인으로 측정하라고 가르치며, 웨이퍼 상에 특별한 물체의 CD는 그 웨이퍼를 가공하기 위해 어떠한 에칭 레서피가 사용되어야 하는지를 결정하는데 사용되지 않는다.
생산 작업 처리량 또는 수율의 상당한 감소없이 CD 변화을 빠르고 의미있게식별하고 교정하는 단순하고 비용 효율적인 방법에 대한 요구가 있다. 레지스트 트림 공정들을 정확하게 실시하기 위한 강건하고 효율적인 장치와 방법에 대한 요구가 있다.
발명의 요약
본 발명의 이점은 웨이퍼의 프로세스 공정 내에서 검사를 하는 동안 모아진 정보를 활용함으로써 생산 작업 처리량 또는 수율의 감소없이 반도체 웨이퍼 내의 CD 변화을 감소시킬 수 있는 것이다.
본 발명에 따르면, 웨이퍼를 투상하여 (imaging) 웨이퍼상의 타겟 형상의 CD를 나타내는 데이터 세트를 구하는 측정 기구; 복수의 기준 데이터 세트들을 저장하며, 각각의 기준 데이터 세트는 기준 형상 CD를 나타내고 제 1 프로세스 파라미터 값들의 상이한 기지(旣知)의 세트와 관련되는 저장 매체; 타겟 형상 데이터 세트에 가장 근접하게 매칭되는 상기 기준 데이터 세트를 식별하여 웨이퍼 상에 제 1 프로세스를 수행하기 위한 제 1 프로세스 파라미터 값들을 구하도록 구성되는 프로세서; 프로세스 파라미터 값들의 제 1 세트를 이용하여 웨이퍼 상에서 제 1 프로세스를 수행하는 제 1 프로세싱 기구; 측정 기구와 제 1 프로세싱 기구 간에 웨이퍼를 운반하는 운반 메커니즘; 및 운반 메커니즘을 포함하여 둘러싸며 클린하고 제어된 환경에서 운반 메커니즘, 측정 기구 및 제 1 프로세싱 기구 사이의 통신을 허용하는 챔버를 포함하는 반도체 웨이퍼를 프로세싱하는 장치에 의해 전술한 그리고 다른 이점들이 부분적으로 얻어진다.
본 발명의 다른 태양은 프로세서가 타겟 형상 CD의 투상 (imaging) 에 기초한 프로세스 파라미터 수치들을 선택하도록 배열되고, 프로세스 파라미터의 제 2 세트를 이전에 거쳤던 (visited) 프로세싱 기구에 제공한다.
본 발명의 부가적인 이점들은 하기의 상세한 설명으로부터 이 기술분야의 당업자에게 명백하게 될 것이며, 발명의 상세한 설명에서는 단지 본 발명의 예시적인 실시 형태들을 제시하고 상술하는데, 이는 단지 본 발명을 실시하기 위해 고안한 다양한 모드 (mode) 를 설명하기 위함이다. 알 수 있듯이, 본 발명은 다른 실시 형태들이 가능하며, 본 발명의 범위를 벗어나지 않는다면, 그 몇몇 상세한 사항들은 다양하고 명백한 관점에서 변형될 수 있다. 따라서, 도면과 명세서는 그 자체로 설명을 위한 것으로 간주되어야지, 제한하기 위한 것으로 간주되어서는 안된다.
도면의 간단한 설명
첨부된 도면들에는 참조번호가 사용되며, 본 명세서에 걸쳐 동일 부재에는 동일 부호를 사용한다.
도 1 은 본 발명의 일 실시 형태에 사용되는 포커스 노광 매트릭스의 일부분을 나타낸다.
도 2A 및 2B 는 본 발명의 일 실시 형태에 사용되는 기준 라이브러리에 대한 개념도이다.
도 3 은 본 발명의 일 실시 형태에 따른 리뷰 기구의 블록 다이어그램이다.
도 4A 및 4B 는 본 발명의 실시 형태들에 따른 방법에서의 일련의 단계들을 나타내는 흐름도이다.
도 5 는 본 발명의 일 실시 형태를 나타내는 블록 다이어그램이다.
도 6A 는 본 발명의 방법 (methodology) 을 사용하여 검사되는 형상의 프로파일에 대한 모습이다.
도 6B 및 6C 는 본 발명의 일 실시 형태를 실행하는데 사용되는 파형들에 대해 표현한 도면이다.
도 6D 는 본 발명의 일 실시 형태에 따른 방법에서의 일련의 단계들을 나타내는 흐름도이다.
도 7 은 본 발명의 일 실시 형태에 따른 측정 기구에 대한 블록 다이어그램이다.
도 8 은 본 발명의 일 실시 형태에 따른 방법에서의 일련의 단계들을 나타내는 흐름도이다.
도 9A 내지 9C 는 본 발명의 실시 형태들에 따른 프로세싱 모듈들을 개략적으로 나타낸 것이다.
도 10 은 본 발명의 실시 형태들에 따른 방법에서의 일련의 단계들을 나타낸 흐름도이다.
발명의 상세한 설명
반도체 웨이퍼들의 표면상에 형성되는 형상들에 대한 프로세스 중의 검사를위한 종래의 방법들은 결점의 원인에 대한 빠르고 명확한 인식을 이끌어 내거나 또는 치수에 있어서의 변화를 줄이는 프로세스 제어를 가능하게 하는 정보를 제공하기 위하여 설계 원칙들로부터의 CD 및/또는 프로파일의 편차 (deviation) 들을 충분히 자세하게 분석할 수는 없다. 본 발명은 웨이퍼에 대한 검사 동안에 (예를 들어, 포토레지스트 현상 후) 수집된 정보를 포토리소그래피 프로세스를 실행하게 될 후속되는 로트들 (lots) 로 피드백함으로써, 그리고 정보들을 검사된 웨이퍼들이 겪게될 다음의 프로세스 (예를 들어, 에칭 프로세스) 를 조정하기 위해 정보를 피드 포워드함으로써 CD 변화를 줄이는 것에 의한 CD 제어에 있어서의 문제점을 검토한다. 본 발명의 다른 실시 형태들에서, CD 측정, 에칭 프로세싱 및 포스트-에칭 세정 (cleaning) 은 제어된 환경안에서 단일 모듈로 수행되어 작업 처리량이 증가하고 수율이 향상된다.
본 발명의 방법 (methodology) 에 따르면, 집적 회로와 같은 형상이 포토리소그래피 프로세스 (예를 들어, 스테퍼에서 노광에 이어지는 포토레지스트 현상) 에 의한 "포토셀 (photo cell)" 에서 반도체 웨이퍼들의 로트 (lot) 나 배치 (batch) 상에 형성된 후, 로트의 하나 또는 그 이상의 웨이퍼들이 검사를 위해 선택되며, 통상적으로 검사될 각각의 웨이퍼 상의 몇몇 장소에서, CD-SEM 또는 광학 검사 기구에서와 마찬가지로 그것의 CD와 에지 폭 및 프로파일 등급 같은 다른 민감한 파라미터들을 측정하여 검사 파형을 얻는다. 측정된 파라미터들은 스테퍼 포커스와 노광 세팅같은 포토리소그래피 조정가능 파라미터들에 링크된다. 따라서, 측정된 파라미터들이 설계 치수에서 벗어나면, 포커스와 노광에 링크된 정보는 포토셀에 피드-백 될 수 있어, 스테퍼는 자동적으로 또는 사용자의 자유재량으로 조정될 수 있어 이후의 로트들 내의 편차를 시정한다. 또한, 측정된 파라미터들은 또한 다른 오버-에칭 및/또는 에칭 화학 (etching chemistry) 을 위한 에칭 레서피 같은 에칭 프로세스 조정가능 파라미터들에 링크된다. 따라서, 만약 측정된 파라미터들이 목적하는 수치들을 벗어나면, 오차를 시정하기 위해 링크된 에칭 레서피가 에처에 피드-포워드 될 수 있는데, 그 레서피는 검사된 로트 내의 웨이퍼들을 프로세스하기 위해 자동적으로 또는 사용자의 재량에 의해 실행될 수 있다. 또한, 본 발명의 피드-백과 피드-포워드 메커니즘은 포토레지스트 현상 후의 검사와 최종 검사에서 로트에서 로트로의 CD 제어을 향상시킨다.
측정된 형상 파라미터들간의 링크들, 포토리소그래피 조정 가능 파라미터들과 에칭 조정 가능 파라미터들은 "라이브러리 빌딩"을 통해 달성된다. 구체적으로, 본 발명의 일 실시 형태에서, 검사될 형상을 만드는데 사용될 레티클(reticle)을 사용하여 포토셀 내의 것을 테스트 웨이퍼에 하는 것과 같이, 형성된 복수의 기준 형상들을 투상함으로써 종래의 SEM 파형같은 기준 파형의 라이브러리는 생성된다. 기준 형상들 각각은 다른 스테퍼 포커스 및 노광 세팅 같은 다른 프로세스 파라미터들을 사용하여 형성된다. 기준 SEM 파형들을 생성한 후, 기준 형상들 각각의 프로파일은 투상되는데 (imaged), 원한다면 크로스-섹션 FIB-SEM에 의해서 투상된다. 또한, 각각의 기준 SEM 파형은 알려진 스테퍼 세팅과, 임의로 알려진 프로파일과 결합된다. 또한, 기준형상과 사용되면 목적하는 CD를 갖는 완성된 형상을 가져오는 에칭 레서피는 각각의 기준 파형과 링크된다. 다시 말하면, 기준 형상 내의 편차를 보상할 에칭 레서피가 각각의 기준 형상에 링크된다. 그 결과로서, 최적의 CD 프로파일 및/또는 다른 특징들을 갖는 특정의 크로스-섹션 SEM 파형과 결합된 기준 파형이 선택되고 골든(golden) 파형으로 식별된다.
미지의 CD 형상은 SEM에 의해 종래의 방법으로 투상되고, 결과 파형이 골든 파형과 비교된다. 만약 형상의 파형이 실질적으로 골든 파형과 매칭하지 않는다면, 가장 근접하여 매칭하는 기준 파형을 발견하기 위해서 기준 형상 파형들과 비교된다. 그 후, 매칭 기준 파형의 프로파일과 스테퍼 세팅(검사시에 형상의 프로파일과 스테퍼 세팅에 해당한다)은 골든 파형과 결합된 것들과 비교될 수 있고 자동 또는 수동 프로세스 조정을 위한 포토셀로 피드백된다. 또한, 매칭 기준파형의 에칭 레서피는 에칭 프로세스의 결과 얻어지는 검사 중의 로트 내의 웨이퍼들의 형상들의 CD를 조정하기 위해 에처에 피드-포워드 될 수 있다. 따라서, 검사 중의 로트로부터 샘플 형상들의 스테퍼 포커스와 노광 세팅들은 정확하게 지정되고(pinpointed), 각각의 형상의 SEM 파형을 얻고 파형을 기준 형상 파형과 비교함으로써 로트를 위한 최적의 에칭 레서피가 결정되어, 포토셀로의 피드백과 에처로의 피드-포워드가 가능해져 프로세스 파라미터들이 로트에서 로트로의 CD 변화를 줄이도록 조정된다.
본 발명의 일 실시 형태에서, 기준 파형들의 라이브러리가 포커스 노광 매트릭스로써 테스트 웨이퍼 상에 형성되며, 이것은 새로운 마스크들이 생기거나 제조 (fabrication) 레서피의 변화 후에 최상의 노광/포커스 조합을 얻기 위한 종래의 기술로, 즉 웨이퍼상에 최상의 분해능을 가져올 수 있는 스테퍼 포커스와 노광의조합을 발견함으로써 요구되는 CD를 유지하면서, 프로세스를 최적화하기 위한 것이다. FEM 절차는 통상적으로 노광과 포커스 수치들을 하나의 노광 사이트 (site) 에서 다음으로 증분적으로 (incrementally) 변화시키면서, 테스트 웨이퍼의 일련의 영역들을 마스크 패턴으로 연속적으로 노광하는 것을 포함한다. 구체적으로, 포커스가 한 축을 따라서 변하고 노광이 다른 한축을 따라서 변하는 동안 테스트 웨이퍼는 스테퍼에 노광된다. 또한, 형상들의 매트릭스는 노광된 웨이퍼상에 얻어지는데,거기서 각각의 노광 사이트 또는 다이 (die) 는 다른 포커스-노광 세팅을 갖는다.
도 1 은 포커스-노광 매트릭스의 부분을 도시한다. 매트릭스 101은 빛 또는 마스크를 통과하는 다른 복사를 사용하여 웨이퍼 표면을 패턴화함으로써 형성된다. 하나의 웨이퍼는 다이 크기에 따라서 수십 또는 수백개의 다이를 포함할 수 있고, 그 각각은 도 1 에 나타낸 익스포서 구역 중 하나 또는 셀들에 대응한다. 편의를 위해서, 단지 적은 수의 다이들만이 도시되었다. 도면들에서 fnEm으로 명명되어 참조되는 바와 같이, 매트릭스의 각각의 셀들은 증분하는 다른 노광 (E) 를 갖고/갖거나 주위의 셀들 중 어떤 것 보다도 증분하는 다른 포커스 (f) 와 함께 형성된다. 매트릭스 형성시, 포커스는 한축을 따라서 변하며, 한편 노광은 다른 축을 따라서 변한다.
웨이퍼의 노광 후, 개별적인 노광 사이트들은 현상되고, 그 후 형상들의 CD를 측정하기 위해 종래의 CD-SEM 스캔에 의해 검사되고, 결과 검사 파형들이 각각의사이트를 위한 기준 파형을 얻기 위해 저장된다. 다른 방법으로는, 검사 파형들은 광학 검사들에 의해 얻어질 수 있다. 그러한 기구의 예로는 미국 특허 제 5,963,329호에 Conrad로 기재되어 있다. 광학 검사 기구는 산란측정법 (scatterometry) 또는 반사측정법 (reflectometry) 기술들을 활용할 수 있다. 검사 기구로서 산란측정법의 사용은 Raymond, "Angle-resolved scatterometry for semiconductor manufacturing", Microlithograplay World, Winter 2000에 개시되어 있다. 검사를 위한 반사측정법의 사용은 Lee, "Analysis of Reflectometry and Ellipsometry Data from Patterned Structures", Characterization and Metrology for ULSI Technology: 1998 International Conference, The American Institute of Physics 1998에서 알 수 있다.
노광 사이트들은, 원한다면, 원자력 현미경(atomic force microscope; AFM)으로 투상되거나 구획될 수 있고, 크로스-섹션 FIB-SEM으로 투상되어 그들의 각각의 프로파일을 결정한다. CD, 크로스-섹셔널 프로파일 이미지들 및 다른 측정된 파라미터들의 평가는 가장 좋은 형상 특징들을 만들 수 있는 포커스와 노광 세팅들의 콤비네이션을 결정하기 위해 실시된다. 가장 좋은 특징들을 만들어낸 스테퍼 포커스와 노광 세팅들의 조합에 상응하는 기준 파형 (즉, SEC 파형 또는 옵티컬 파형) 이 그 후 골든 파형으로 지정된다. 각각의 기준 파형은 설계 치수들, 주어진 측정된 CD 및 기준 형상의 다른 특징들에 가능한 한 가까운 최종의 형상 (에칭 후) 을 생성하는 광학적 레서피가 되도록 실험적으로 결정되는 에칭 레서피와 더 관련이 있다.
본 발명의 기준 라이브러리의 개념이 도 2A 및 2B 에 도시되어 있다. 도 2A 에서, 도 1 의 FEM에 기초하여 최적화된 프로세스 조건은 'x'라고 표시된 셀에 의해 표시된다. 모든 다른 셀들은 바람직하게는 프로세스 변화의 합리적인 범위 또는 프로세스 윈도우를 포함하며, Δfn, ΔEm은 각각 셀 x로부터의 차이로써 측정되며, 즉 Δf과 ΔE 둘 모두는 셀 x에서 모두 0이고, 다른 셀들의 Δf과 ΔE는 최적의 노광과 포커스에 관련된 노광 도스들 (doses) 과 포커스 세팅들이다. 각각의 노광 컬럼 A-E는 다른 에칭 레서피를 나타낸다. 에칭 레서피의 조정이 이러한 예시적인 실시 형태의 CD에 적용되므로, 에칭 레시피들 할당들은 노광 컬럼들과 정렬된다. 도 2B 는 도 2A 의 각 셀에 대한 확대를 나타낸다. 측정된 CD 값 (예를 들어, 박스 Ⅰ 내) 과 검사 파형 (예를 들어, 박스 Ⅱ 내) 은 셀 내에서 ΔfnΔEm(예를 들어, 박스 Ⅲ 내), 에칭 레서피 (예를 들어, 박스 Ⅳ 내) 및 검사된 형상의 크로스-섹션 또는 프로파일 이미지 (예를 들어, 박스 Ⅴ 내) 와 링크된다.
도 3 에 도시된 바와 같이, 본 발명의 예시적인 일 실시 형태는, 예를 들어 California, Santa Clara의 Applied Materials 사로부터 입수 가능한 VeraSEMTM과 같은 CD-SEM 또는 미국 특허 제 5,963,329 호에 개시된 옵티컬 이미저와 같은 이미저 (310) 를 포함하는 프로세싱 라인 (300) 내에서 리뷰 기구를 사용하여 구현된다. 프로세싱 라인 (300) 은 바람직하게는 여기에 개시된 분석을 전자공학적으로 수행하는 프로세서 (320) 와 프로세서 (320) 의 분석들의 결과를 디스플레이하는 모니터 (330) 를 더 포함한다. 프로세서 (320) 는 반도체 메모리와 같은 메모리 정치 (340) 와 종래에 프로세스 정보의 저장을 위해 사용되는 "MES (manufacturing execution system)" 로 알려진 컴퓨터 소프트웨어로-구현된 데이터베이스 시스템 (350) 과 통신할 수 있다. 프로세서 (320) 는 또한 이전에 상술한 포토셀 (360) 및 에처 (370) 와 통신할 수 있다.
도 4A 는 포토셀 (360) 에서의 반도체 웨이퍼 (W) 상에 형성되는 트랜지스터 게이트와 같은 형상 (이후 "타겟 형상" 이라 함) 을 검사하는 것과 관련하여 구현되는 본 발명의 일 실시 형태에 따른 프로세스 제어의 중요 단계들을 보여주는 흐름도이다. 단계 (410) 에서, 기준 CD들과 SEM 또는 옵티컬 파형들 형태로서의 파형들을 포함한 기준 라이브러리가 생성되어 프로세서 (320) 또는 MES (350) 내에 국부적으로 저장된다. 각각의 기준 파형들과 관련된 스테퍼 세팅들과 적절한 에칭 레서피들이 파형들에 따라 저장된다. 사용자가 원하는 경우, 프로파일 이미지들 또한 저장된다. 기준 라이브러리는, 포토셀 (360) 과 같은 일련의 프로세스 단계들이 사용자가 반드시 검사되어야 한다고 결정한 "임계 레이어 (critical layer)" 를 생성하는 때처럼, 검사될 각각의 레이어에 대해 오직 한번 생성된다. 예를 들어, 옵티컬 CD 및/또는 다른 특징들을 나타내는 기준 형상과 관련된 파형인 골든 파형이 단계 (420) 에서 선택된다.
단계 (430) 에서 알려지지 않은 CD를 갖는 형상들과 다른 특징들을 가지는 웨이퍼 (W) 가 포토셀 (360) 로부터 이미저 (310) 로 운반되고, 타겟 형상이 이미저 (310) 에 의해 투상되며, 그의 파형이 타겟 파형으로서 저장된다. 단계 (440)에서, 타겟 파형은 저장된 골든 파형과 비교된다. 만약 타겟 파형과 골든 파형이 소정의 제한들 내에서 매칭하면, 골든 파형으로부터의 타겟 파형의 편차의 양을 지시하는 "매칭 스코어 (matching score)"와 함께 모니터 (330) 상에 디스플레이되는 것에 의해 타겟 형상의 CD는 사용자에게 보고된다 (단계 441 을 보라). 검사로부터의 결과들 (예를 들어, 데이터) 은 MES (350) 로 보내지며, 웨이퍼 (W) 는 이후의 프로세싱을 위해 에처 (370) 로 보내진다.
만약 타겟 파형이 골든 파형과 매칭하지 않는다면, 타겟 파형은 라이브러리 내의 각각의 기준 파형들과 비교되어 타겟 파형과 가장 근접하게 매칭하는 기준 파형을 지시한다 (단계 450 을 보라). 보고된 스테퍼 세팅들은 단계 (460) 에서 골든 파형과 관련된 것들과 비교되어 골든 파형을 생성한 세팅들과 타겟 파형을 생성한 것들 간의 차이 (dEdF) 를 결정한다; 예를 들어, 골든 파형과 관련된 포커스 세팅과 타겟 파형과 관련된 포퍼스 세팅 간의 차이를 결정하고, 골든 파형과 관련된 노광 세팅과 타겟 파형과 관련된 노광 세팅 간의 차이를 결정한다. 그 후, 이러한 정보는 필요로 하는 스테퍼에 조정의 양뿐만 아니라 어떤 특정한 조정들 (예를 들어, 포커스, 노광 또는 둘 모두) 이 행해져야 하는지를 지시함으로써, 포토셀 (360) 로 보내져서 연속적으로 프로세싱되는 웨이퍼들 내의 CD 변화들을 야기할지도 모르는 스테퍼 내의 "드리프트 (dirft)"를 최소화하기 위해 스테퍼 세팅들을 수정하는데 사용된다.
다음으로, 단계 (470) 에서 dE와 dF가 소정의 문턱 값들과 비교된다. 만약 dE와 dF가 소정의 문턱값들보다 크지 않다면, 단계 (471) 에서 CD와 타겟 형상의 매칭 스코어가 보고되며, 그 후 검사로부터의 데이터가 MES (350) 로 보내지고, 웨이퍼 (W) 는 에처 (370) 로 보내진다. 한편, dE와 dF가 소정의 문턱값들보다 크다면, 단계 (480) 에서 에칭 레서피와 관련된 dE와 dF와 함께 CD와 타겟 형상의 매칭 스코어가 보고되고, 에처 (370) 로 보내져서 웨이퍼 (W) 상의 완성된 형상들의 CD 변화를 수정하기 위한 에칭 레서피를 조정한다 ("업데이트한다"). 에칭 레서피들은 통상적으로 약 10% 또는 그 미만의 범위 내에서 CD를 조정할 수 있다.
단계 (460 및 480) 의 피드백 및 피드-포워드는 매뉴얼에 따라 또는 자동적으로 수행될 수 있다. "매뉴얼 모드 (manual mode)"에서, 사용자는 보고된 프로세스 수정 정보를 취하여 포토셀 (360) 및/또는 에처 (370) 에서 매뉴얼에 따라 그것을 구현한다. 이것은 사용자로부터의 숙련된 입력이 프로세스 조정을 위해 필요한 것을 결정할 수 있게 해준다. "자동 모드 (automatic mode)" 에서, 프로세스 수정 정보는 포토셀 (360) 또는 에처 (370) 로 자동적으로 인가되어 레서피 업데이트를 통해 수정을 효과적으로 할 수 있다. 이 모드는 프로세서 (320) 와 에처 (370) 간, 그리고 프로세서 (320) 와 포토셀 (360) 간에 통신을 허용하는 소프트웨어 인터페이스에 의해 구현될 수 있다. 단계 (470) 의 소정의 문턱 값 테스트는 업데이트가 필요한지 여부를 결정하기 위해 민감한 필터가 이용될 수 있다. 자동 모드는 빠른 피드백과 일관성을 가능하게 하기 때문에 유용하다.
본 발명의 상술한 실시 형태는 "골든 파형" 기술과 관련하여 기술되어졌다. 그러나, 에칭 레서피에 대한 그리고 형상 프로파일 및/또는 크로스-섹션에 대한 CD 측정과 같이 측정 장비를 상호 상관시킬 수 있는 임의의 CD 측정 기술이 본 발명을구현하는데 사용될 수 있다는 것을 인식하여야 한다. 이러한 기술의 예가 "An Inverse Scattering Approach to SEM Line Width Measurements", Mark P. Davidson과 Andras E. Vladar, Proceedings of SPIE, Vol 3677 (1999) 에서 논의되며, 그 전체에 개시된 사항이 여기에 함께 참조된다. 이러한 특정한 기술에서, SEM 파형들은 형상의 측면부 모양 및 치수들 (예를 들어, 형상 프로파일) 을 예상하는 Monte Carlo 시뮬레이션들의 라이브러리에 매칭된다.
통상적으로, 예시적인 측정기구는 포토셀 (360) 에 의해 프로세싱되는 약 25 개의 웨이퍼들과 같은 웨이퍼들의 로트 후에 수행된다. 사용자의 실행에 따라, 로트로부터의 웨이퍼들 (W) 의 개수가 선택되고 검사된다. 예를 들어, 마이크로프로세서들을 제작할 때는 통상적으로 1-3 개의 웨이퍼들이 검사를 위해 선택되나, DRAM들과 같은 메모리 장치들을 제작할 때는 통상적으로 로트당 단지 하나의 웨이퍼만이 검사된다. 보통 웨이퍼 (W) 당 약 9-17 개의 사이트들과 같이, 각각의 선택된 웨이퍼 (W) 상의 다수의 사이트들이 본 측정기구 (예를 들어, 단계 (430) 에서의 타겟 형상들이 되는) 에 의해 검사된다.
로트 내에서 하나 또는 그 이상의 웨이퍼들 (W) 로부터 다수의 형상들이 검사될 때 단계 (480) 에서 구현되는 에칭 레서피를 결정하기 위해서, 로트의 모든 타겟 형상들의 CD들은 평균될 수 있고, 평균 CD와 관련된 에칭 레서피는 로트의 에칭 프로세싱을 조정하는데 사용된다. 단계 (460)에서 포토셀 (360) 로 피드백된 스테퍼 포커스와 노광 정보 (dEdF) 를 결정하여 로트 내의 다수의 타겟 형상들이 검사될 때 이어지는 로트들의 포토리소그래피 프로세싱을 조정하기 위해서, 사용자는 이전에 수집된 프로세스 정보를 적용하여 선택된 웨이퍼들의 어떤 사이트들을 검사할 것인지 결정하고, 이후 포토셀 (360) 을 조정하기 위해 어떤 검사된 형상의 정보가 사용될 것인지 결정할 수 있다.
이것은 본 발명의 일 실시 형태에 대한 흐름도를 나타내는 도 4B 에 도시되어 있다. 단계 (490) 에서, 사용자는 본 발명의 측정기구를 사용하여 검사하는 것에 앞서 다수의 웨이퍼들에 걸쳐서 필드로부터 필드까지 (FTF) CD 변화들을 매핑한다. 이것이 가상적으로 모든 웨이퍼 제조기들에 의해 수행되는 표준 프로세스 제어 기술이다. 이것은 웨이퍼의 어떤 영역들이 통상적으로 설계값으로부터 작은 CD 변화를 갖는가, 그리고 웨이퍼의 어떤 영역들이 통상적으로 큰 CD 변화를 갖는가를 지시한다. 예를 들어, 몇몇 웨이퍼 프로세싱 장비 (예를 들어, 포토셀 (360)) 는 웨이퍼의 중앙에서는 작은 CD 변화를, 그리고 가장자리에서는 큰 CD 변화들을 갖는 웨이퍼들을 생산한다. 다른 장비는 웨이퍼의 중앙 부근에서는 큰 CD 변화들을, 중앙을 둘러싸는 대역에서는 작은 CD 변화들을 갖는 웨이퍼들을 생산한다. CD 변화들을 매핑한 후에, 단계 (491)에서 사용자는 가장 열악한 CD 변화를 보이는 웨이퍼들의 영역 또는 영역들을 식별한다.
다음으로, 사용자는 수정하기를 원하는 가장 작은 CD 변화를 나타내는 문턱 CD 변화를 선택한다 (단계 (492) 를 보라). 다음으로, 단계 (493) 에서 본 발명의 방법 (예를 들어, 상술한 단계들 (430) 이하의 과정들) 을 사용하여 타겟 형상들이 검사된다. 타겟 형상들은, 단계 (491) 에서 식별되는, 웨이퍼의 가장 열악한 부분에서의 필드가 나타내지는 것과 같은 방법으로 선택된다. 만약 검사된 형상들의 필드로부터 필드까지의 변화가 소정의 문턱값 (단계 (494) 를 보라) 보다 작다면, 연속적인 로트들이 상대적으로 서로 근접해있기 때문에, 연속적인 로트들의 프로세싱을 조정하는데 사용하기 위해 타겟 형상들 중 임의의 하나와 관련된 dEdF는 포토셀 (360) 로 피드백될 수 있다. 한편, 검사된 형상들의 필드로부터 필드까지의 변화가 단계 (492) 에서 선택된 문턱값보다 더 크다면, 단계 (491) 로부터의 소정의 가장 열악한 사이트로부터 검사된 형상과 관련된 dEdF는 포토셀 (360) 로 피드백된다 (단계 (496) 을 보라). 따라서, 가장 열악한 CD 변화는 연속되는 로트들 내에서 수정된다.
단계 (497) 에서, 검사된 형상들의 CD들을 평균하였고, 단계 (498) 에서는, 평균 CD와 결합한 에칭 레서피를 에처 (370) 로 피드-포워드시켜 에칭 레서피를 조정 (또는 "업데이트") 하여 검사된 로트 내의 웨이퍼 상의 형상들의 CD 편차를 시정한다. 또한, 본 발명의 이 실시 형태는 사용자로 하여금 본 발명을 실행하는 것과 독립적으로 당연히 모이는 필드로부터 필드까지 CD 변화 맵들같은 정보를 사용할 수 있게 하여 최소의 부가비용과 검사시간을 로트로부터 로트까지 변화를 줄일 수 있다.
본 발명의 또 다른 실시 형태에서 타겟 파형과 골든 파형을 비교하는 단계 (도 4A 참조, 단계 440) 와 타겟 파형을 기존 파형들에 매칭하는 단계 (단계 450) 는 단지 타겟 파형의 선택된 부분과 검사 하에서 프로파일의 중요한 형상을 나타내는 기준/골든 파형들을 비교하는 것을 포함한다. 가장 적합한 정보를 함유하는 파형들의 단지 일부분만을 분석함으로써, 프로세싱 시간이 감소된다. 도 6A 는통상적인 형성 프로파일을 도시하고, 도 6B 는 도 6A 의 형상의 대응하는 검사 파형을 나타내고, 도 6C 는 도 6B 의 제 1 도함수 (derivative) 의 그래프상의 표현이다. 부분 (601a 와 603a) 가 검사되어야 할 형상 프로파일의 가장 중요한 구역이고, 도 6B 내의 세그먼트 (601b 와 603b) 및 도 6C 내의 제 1 도함수의 세그먼트 (601c 와 603c) 에 해당하므로, 타겟의 세그먼트 (601c 또는 603c) 와 기준 파형들을 매칭시키는 것이 유리하다.
본 발명의 또 다른 실시 형태에서, 도 6D 의 플로우 차트에 도시된 바와 같이, 타겟 파형이 도 4A 의 플로우 차트의 단계 (440) 의 골든 파형과 매칭하지 않으면, 타겟의 제 1 도함수와 기준 파형들이 택해져 단계 (620) 에서 도 6C 의 세그먼트 (601c, 602c 와 603c) 같은 세그먼트들로 나뉜다. 그 후, 타겟 파형들의 도함수의 세그먼트들 (601c, 602c 와 603c) 각각은 알려진 프로파일의 부분, 예를 들면 도 6A 의 부분 (601a, 602a, 603a) 와 결합되는 기준 파형의 도함수의 해당 세그먼트에 개별적으로 매칭된다 (단계 630, 640, 650 참조). 또한, 타겟 형상의 프로파일은 매칭 세그먼트들의 "빌딩 블록들"을 조립함으로써 예측된다. 예측된 프로파일의 시험은 프로파일의 어떤 파트 또는 파트들이 설계 표준들로부터 벗어나는 지를 지시할 것이고, 그러한 정보는 이 기술분야의 당업자에 의해 포커스와 노광 문제들과 같은 포토리소그래피의 프로세싱 문제들을 추적하는데 사용될 수 있다.
본 발명의 또 다른 실시 형태에서, 타겟 파형과 골든 파형을 비교하는 단계 (단계 440) 와 타겟 파형을 기존 파형들 중 하나에 매칭시키는 단계 (단계 450) 는도 6B 에서 도시한 바와 같이 "커브에 적합 (fit a curve)"하기 위한 알고리즘을 타겟과 기준 파형들에 사용하는 단계를 포함하는데; 다시 말하면, 수학적 함수 또는 파형들 각각의 형태의 대표적인 "수식"을 얻기 위함이다. 그 후, 어떤 기준 이미지가 가장 근접하게 타겟 이미지와 매칭하는지를 결정하기 위해, 타겟과 기준 파형들의 대표적인 수학적 함수들의 상응하는 중요한 요소들이 비교된다. 예를들면, 만약 타겟과 기준 파형들이 함수 y=F(x,a,b) 에 의해 표시되면, 기준 파형의 수치들인 x,a 및 b가 타겟 파형의 수치들인 x, a, 및 b에 가장 근접하게 매칭하는 기준 파형이 타겟 파형에 가장 근접하게 매칭하는 기준 파형이다 (식 중 a 및/또는 b는 상수 또는 x의 지수일 수 있다).
본 발명의 또 다른 실시 형태에서, 타겟 파형과 골든 파형을 비교하는 단계 (단계 440) 와 타겟 파형을 기존 파형들 중 하나에 매칭시키는 단계 (단계 450) 는 타겟과 기준 파형들을 이용하여 타겟 형상과 기준 형상들의 이미지들을 생성하고, 그 후 생성된 이미지들을 비교하는 것을 포함한다. 다시 말하면, 도 6B 에 도시된 바와 같은 파형들은 종래의 컴퓨터 그래픽 기술을 사용함으로써 조작되어 도 6A 에 나타낸 바와 같은 이미지들을 생성한다. 그 후 생성된 이미지들은 경계 분석과 그레이-스케일 분석 같은 잘-알려진 패턴 인식 기술들로써 매칭된다.
본 발명의 또 다른 구현예에서, 반도체 웨이퍼를 프로세스 하기 위한 장치가 제공되는데, 여기서 웨이퍼는 웨이퍼 카세트로부터 제거되고, 측정 기구를 사용하여 형상 CD를 측정하는 것과 같이 웨이퍼의 표면상의 형상의 치수가 측정된다. 그 후, 에칭 레서피 같은 CD 측정에 기초하여 선택된 프로세스 파라미터 수치들의세트를 사용하여 에칭 프로세스 같은 프로세스가 웨이퍼 상에서 실시되고, 웨이퍼는 카세트로 돌아간다. 몇몇 구현예들에서, 웨이퍼가 카세트에 돌아가기 전에 애쉬 스트리핑, 웨트 (wet) 크리닝 및/또는 그 이상의 CD 측정 같은 후-에칭 프로세싱이 장치에 의해 실시된다. 장치에 의해 실시되는 모든 트랜스퍼와 프로세싱 단계들은 클린 환경에서 실시되는데, 그로 인해 웨이퍼가 대기에 노출되는 것과 단계들간의 오염 가능성을 방지함으로써 수율을 증가시킨다.
또한, 본 발명의 이러한 구현예들은모든웨이퍼의 사전-에칭 CD 측정을 위해 제공되고 그 CD 측정에 따라서모든웨이퍼에 대해 에칭 레서피의 조정을 제공하여 포토셀에 있는 노광과 포커스 변화들 같은 이전에 거친 기구들 내의 프로세스 변화들을 수정한다. 이것은 이전에 기술된 방법들과 반대인데, 이전 방법들은 통상적으로 샘플 웨이퍼들의 CD를 에칭 프로세싱 전 및/또는 후에 측정하고 이 정보를 웨이퍼의 다음 배치를 프로세스하는데 사용한다. 본 발명은 모든 웨이퍼의 실시간 측정을 실시하고, 모든 웨이퍼를 위한 에칭 레서피를 조정함으로써 수율을 증가시킨다.
본 발명에 따라서 반도체 웨이퍼를 프로세싱하기 위한 장치를 도 9A 를 참조하여 설명한다. 장치는 챔버 또는 Applied Materials of Santa Clara, CA로부터 입수 가능한 CenturaTM프로세싱 시스템과 같은 종래의 에칭 프로세서들 (902) 과 같은 복수의 프로세싱 챔버들을 장착하기 위한 "메인프레임" (901) 과 "로드 락 (load lock)"이라고도 불리는 하나 이상의 운반 챔버들 (903) 을 포함한다.
메인프레임 (901) 은 그 내부에 진공 환경을 유지하는 것이 가능하다. 로봇 (904) 은 프로세싱 챔버들 (902) 과 운반 챔버들 (903) 간의 웨이퍼들의 이동을 위해 제공된다.
운반 챔버들 (903) 은 "미니 환경"이라고도 알려져 있으며, 조절된 환경을 유지하는 팩토리 인터페이스 (905) 에 연결된다. 산란측정 또는 반사측정 기술들을 활용한 광학 측정 기구 같은 CD측정 기구 (906) 는 팩토리 인터페이스 (905) 내부에 장착된다. 특정 기구 (906) 로 사용될 수 있는 기구의 예로는 미국 특허 제 5,963,329호 에 기재된 CD 측정 기구를 포함할 수 있는 상기에서 기재된 이미저 (310) 이다 (도 3 및 4A 을 보라). 웨이퍼 CD 측정에 기초하여 에처 (902) 에 에칭 레서피를 제공하는 프로세서 (예를 들어, 프로세서 (320) 에 대응하는 프로세서) 는 에처 (902) 또는 메인프레임 (901) 의 부분일 수 있다. 운반 챔버들 (903), 측정 기구 (906) 및 팩토리 인터페이스 (905) 에 제거 가능하게 부착된 표준 웨이퍼 카세트들 (908) 간에 웨이퍼들의 운반을 위해 하나 또는 그 이상의 로봇들 (907) 또는 트랙 로봇이 팩토리 인터페이스 (905) 내부에 탑재된다. 메인프레임 (901), 운반 챔버들 (903), 팩토리 인터페이스 (905) 및 로봇들 (907) 은 상기 참조된 Applied Materials CenturaTM와 같은 종래의 프로세싱 시스템의 모든 부분들이며, 클린하고 제어된 환경을 유지하는 동안 서로 통신한다. 이러한 종래의 프로세싱 시스템들은 시스템의 한 부분으로부터 다른 부분으로 웨이퍼들을 운반하는 것을 포함한 시스템의 동작을 전자 공학적으로 제어하는 컴퓨터 (도시하지 않음) 와 같은 프로세서를 더 포함한다.
본 발명의 이러한 실시 형태에 따른 장치의 동작은 도 10 의 흐름도를 참조하여 이하에서 상술될 것이다. 상술한 포토셀 (360) 과 같은 프로세싱 기구에서 복수의 웨이퍼들이 프로세싱된 후, 단계 (1010) 에서 그들이 카세트 (908) 내로 로딩되고 카세트는 팩토리 인터페이스 (905) 로 운반된다. 그 후, 웨이퍼는 카세트 (908) 로부터 로딩되어 로봇에 의해 측정 기구 (906) 로 운반되며 (단계 1020), 단계 (1030) 에서 웨이퍼의 표면상에 형성된 형상 또는 형상들의 CD가 측정된다. 본 발명의 어떤 실시 형태들에서는, 웨이퍼는 또한 광학적으로 측정 기구 (906) 에 정렬된다; 즉, 웨이퍼의 노치 (notch) 가 소정의 위치 (position) 에 맞추어진다. 예를 들어, 측정 기구 (906) 는 웨이퍼를 정렬하고 그것의 CD 측정을 수행하며, 다음으로 웨이퍼 노치가 적절한 위치에서 로봇 (907) 에 의해 연속적으로 처리되는 것을 보장하기 위해 필요하다면 (왜냐하면 검사동안 움직일 수도 있기 때문에) 재정렬한다.
단계 (1040) 에서, 웨이퍼의 CD 측정에 기초하여 웨이퍼를 위한 에칭 레서피가 선택된다. 예를 들어, 상술한 도 3 및 도 4A - 4B 의 본 발명의 실시 형태에 따른 웨이퍼의 CD 측정 데이터에 대하여 "사인 분석 (signature analysis)"이 수행될 수 있으며, CD 측정으로부터의 데이터는 라이브러리로부터의 기준 데이터와 비교되어 파리미터화된다 (예를 들어, 에칭 프로세스 파라미터들로 변환된다). 다른 방법으로, RCWA (robot coupled wave analysis) 가 수행될 수 있으며, 광학적 검사 장치 내의 프로세서에 의하는 것처럼 주어진 파형에 해당하는 CD가 계산에 의해 유도된다. RCWA는 Chateau, "Algorithm for the rigorous couple-wave analysis of grating diffraction", Journal of the optical Society of America , Vol. 11, No. 4 (April 1994) 와 Mogaram, "Stable implementation of the rigorous couple-wave analysis for surface-relief grantings: enhanced transmittance matrix approach", Journal of the optical Society of America , Vol. 12, No. 3 (May 1995) 에서 논의된다. 또한, 도 3, 4A 및 4B 의 실시 형태에서 상술한 바와 같이, 이러한 분석의 결과들은 또한 포토셀 (360) 로 피드백되어 수정된 스테퍼 세팅들을 선택한다.
단계 (1050) 에서, 로봇 (907) 을 사용하여 웨이퍼를 운반 챔버 (903) 로 옮기고 로봇 (904) 를 사용하여 웨이퍼를 에처 (902) 로 옮김으로써, 웨이퍼가 측정 기구 (906) 로부터 에처 (902) 로 운반된다. 그 후, 웨이퍼는 에칭된다 (단계 1060). 이러한 에칭 단계는 레지스트 트림 단계, 형상 형성 단계 (예를 들어, 폴리실리콘 게이트 에칭), 또는 둘 모두일 수 있다. 다음으로, 본 발명의 일 실시 형태에서, 웨이퍼는 로봇들 (904, 907) 및 운반 챔버 (903) 를 거쳐 카세트 (908) 로 되돌아온다 (단계 1090).
다른 방법으로, 카세트 (908) 내로 로딩되기 전에 포스트-에칭 CD 측정을 위해 측정 기구 (906) 로 웨이퍼가 처음에 뒤로 운반될 수 있다 (단계 1070 및 1080). 측정 기구 (906) 에 의해 획득된 데이터는 전에 프로세싱된 웨이퍼들로부터의 포스트-에칭 데이터와 비교될 수 있다. 정상적인 포스트-에칭 데이터로부터 중요한 변화가 관찰된다면, 이후 웨이퍼는 카세트 (908) 로 되돌아가게 될 수있으며, 다음으로 CD-SEM과 같은 리뷰 기구에서 세정되고 리뷰된다. 그러므로, 측정 기구 (906) 는 리뷰가 필요한 경우를 지시하는 프로세스 모니터로서 사용된다.
도 9B 에 도시된 본 발명의 다른 실시 형태에서, 종래의 ashing strip processors (909, "ASPs"라 함) 이 메인프레임 (901) 에 에처들 (902) 과 함께 탑재된다. ASPs (909) 는 에칭 후에 웨이퍼들로부터 포토레지스트 폴리머들 및 다른 잔류물을 제거하기 위한 것이다. 팩토리 인터페이스 (905a) 는 그것에 탑재된 (도 9A 의 실시 형태와 같이 내부에 탑재되는 대신) CD 측정 기구 (906a) 뿐만 아니라 Santa Clara, CA의 Applied Materials로부터 입수 가능한 ExciteTM과 같은 집적된 입자 모니터 (910, "IPM (integrated particle monitor)"이라 함) 를 갖는다. IPM (910) 은 웨이퍼들의 표면상에 있는 작은 이물질들과 다른 결점들을 찾아내기 위한 것이다.
단계 (1060) 에서 웨이퍼가 에칭된 후 포스트-에칭 CD 측정을 위해 단계 (1070) 에서 측정 기구 (906a) 로 운반되기 전에 ASP (909) 내에서 세정되는 것을 제외하고, 도 9B 의 기구는 상술한 바와 같이 도 10 의 흐름도에 따라 동작한다 (단계 1080). 다음으로, 단계 (1090) 에서 카세트 (908) 로 되돌아가게 되기 전에 웨이퍼는 IPM (910) 을 거친다.
도 9C 에 도시된 본 발명의 또 다른 실시 형태에서는, 예를 들면 미국 특허 출원 번호 제 09/603,792 호에 개시된 바와 같이, 측정 기구 (906a) 와 IPM (910)과 함께 웨트 클린 모듈들 (911, wet clean module) 이 팩토리 인터페이스 (905b) 에 탑재된다. 단계 (1060) 에서 웨이퍼가 에칭된 후 포스트-에칭 CD 측정을 위해 단계 (1070) 에서 측정 기구 (906a) 로 운반되기 전에 ASP (909) 와 웨트 클린 모듈 (911) 내에서 세정되는 것을 제외하고, 도 9C 의 장치는 상술한 바와 같이 도 10 의 흐름도에 따라 동작한다. 다음으로, 단계 (1090) 에서 카세트 (908) 로 되돌아가게 되기 전에 웨이퍼는 IPM (910) 을 거친다.
도 9A -C 에 도시된 본 발명의 실시 형태들은 제어된 환경 조건 하에서 프리-에칭 CD 측정, 에칭, 세정 및 포스트 에칭 CD 측정을 완전하게 제공한다. 메인프레임 및/또는 팩토리 인터페이스 상에 에칭, 세정 및 측정 기구들을 제공하는 것에 의해, 웨이퍼가 카세트로 되돌아가게 되기 전에 에칭되고, 세정되고, 그리고 검사될 수 있으므로 프로세싱 시간 및 비용을 줄일 수 있다. 더 나아가, 도 9A - C의 실시 형태들은 모든 웨이퍼에 대해 실시간으로 CD 측정 데이터의 피드백 및 피드포워드를 제공하여, 에칭 프로세싱이 모든 웨이퍼에 대해 맞추어지게 되어 수율을 증가시킬 수 있다. 그러므로, 본 발명은 종래 기술에서의 시스템과 비교하여 수율의 증가, 생산비용의 감소를 제공하며, CD 측정들로부터의 피드백은, 만약 있더라도 모든 웨이퍼들에 대해서라기보다는 오히려 로트로부터 로트까지에 기초하며, 웨이퍼들은 측정, 에칭 및 세정 단계들 간에 대기에 노출되어야만 한다.
도 9A - 9C 의 장치들을 사용하여 구현될 수 있는 본 발명의 또 다른 실시 형태들이 도 7 및 도 8 의 흐름도를 참조하여 상술될 것이다. 본 발명의 이러한 실시 형태들에 있어서, (게이트 에칭, STI (shallow trench isolation) 트렌치 에칭, 비아 (via) 에칭, 콘택트 홀 (contact hole) 에칭, 금속 에칭 등과 같은) 레지스트 트림과 형상 에칭 프로세스들에서의 CD는 제어된 환경 조건하에서 실시간으로 MD 측정의 피드백 및 피드포워드를 사용하여 엄격하게 제어된다.
도 7 및 9A 를 참조하면, 복수의 웨이퍼들이 상술한 포토셀 (360) 과 같은 프로세싱 기구에서 종래의 방식으로 프로세싱되며, 포토레지스트 레이어를 노광하고 현상하여 포토레지스트 내에 패턴들을 형성한다. 이전에 상술한 실시 형태들에서와 같이, 웨이퍼들은 카세트 (908) 내로 로딩되고, 카세트는 팩토리 인터페이스 (905) 로 운반되고, 그 후 웨이퍼는 카세트로부터 언로딩되어 (unloaded) 로봇 (907) 에 의해 측정 기구 (906) 로 운반되고, 웨이퍼가 정렬되며, 그리고 단계 (720) 에서 포토레지스트 패턴들의 CD ("PRCD In") 가 측정된다.
단계 (730)에서, 포토레지스트를 위한 트림 레서피가 포토페지스트 패턴의 CD 측정에 기초하여 선택된다. 예를 들어, 상술한 바와 같은 사인 분석 또는 RCWA가 패턴들의 CD 측정 데이터에 대해 수행될 수 있으며, 웨이퍼에 대한 프림 레서피를 조정하기 위해 사용되는 프로세스 모델 (M1) 을 업데이트하기 위해 분석의 결과들이 피드포워드될 수 있다.
로봇 (907) 을 사용하여 웨이퍼를 운반 챔버 (903) 로 옮기고, 로봇 (904) 을 사용하여 웨이퍼를 에처 (902) 로 옮김으로써 웨이퍼가 측정 기구 (906) 로부터 에처 (902) 로 운반된다. 다음으로 포토레지스트 패턴들이 에칭되어 (단계 740) 타겟 크기로 포토레지스트 패턴들이 다듬어지거나 또는 축소된다. 레지스트 트림은 통상적으로 등방성인 에칭 단계이다. 다음으로, 단계 (750) 에서 포스트-트림 CD 측정 ("PRCD Out") 을 위해 웨이퍼가 측정 기구 (906) 로 뒤로 운반된다. PRCD Out은 연속적으로 프로세싱되는 웨이퍼들에 대한 트림 레서피를 조정하기 위한 프로세스 모델 (M1) 을 업데이트하기 위해 피드백된다.
다음으로, 웨이퍼는 로봇 (904) 에 의해 동일한 또는 다른 에칭 챔버 (902) 로 운반되고, 단계 (760) 에서 다듬어진 포토레지스트 패턴들을 마스크로서 사용함으로써 포토레지스트 밑에 깔린 레이어가 에칭되며, 트랜지스터 게이트들, 트렌치들, 바이어스 등과 같은 형상들이 원하는 크기로 형성된다. 본 발명의 일 실시 형태에서, 카세트 (908) 로 되돌아가게 되기 전에 단계 (770) 에서 포스트-에칭 CD 측정 ("형상 CD (feature CD)") 를 위해 웨이퍼가 측정 기구 (906) 로 뒤로 운반된다. 단계 (780) 에서 측정 기구 (906) 에 의해 획득된 데이터는 이전에 프로세싱된 웨이퍼들로부터의 포스트-에칭 데이터와 비교될 수 있다. 정상적인 포스트-에칭 데이터로부터 중요한 변화가 관찰되면, 그 후 웨이퍼는 카세트 (908) 로 되돌아가도록 되며, 다음으로 CD-SEM과 같은 리뷰 기구에서 세정되고 리뷰된다. 따라서, 측정 기구 (906) 는 리뷰가 필요한 경우를 지시하는 프로세스 모니터로 사용된다.
도 8 에 도시된 본 발명의 다른 실시 형태에서는, 단계 (770) 에서 에칭된 형상들의 CD 가 측정된 후, 단계 (880) 에서 연속적으로 프로세싱된 웨이퍼들에 대한 에칭 레서피를 선택하기 위한 프로세스 모델 (M2) 를 업데이트하기 위해 데이터가 피드백되는 것을 제외하고, 도 7 에서 참조되어 상술된 것과 동일한 프로세스가 수행된다. 본 발명의 또 다른 실시 형태에서, 단계 (770) 에서 획득된 형상 CD데이터 또한 단계 (730) 에서 연속적으로 프로세싱된 웨이퍼들에 대한 트림 레서피를 조정하기 위한 프로세스 모델 (M1) 을 업데이트하기 위해 피드백된다.
비록 도 7 및 8 의 실시 형태들이 편의를 위해 도 9A 의 장치를 참조하여 상술되었지만, 이러한 실시 형태들은 도 9B 및 9C 의 장치에서 구현될 수 있다는 점이 이해되어져야 할 것이다. 물론, 도 9B 및 9C 의 장치에서 이용할 수 있도록 상술된 세정 및/또는 더 나아가 검사 단계들은 적절하게 그리고/또는 원하는 대로 수행될 수 있다.
도 5 는 본 발명의 일 실시 형태를 나타내는 블록 다이어그램이다. 이 실시 형태에 따르면, 도 5 에 도시된 프로세서 (320) 는 정보를 통신하는 버스 (502) 또는 다른 통신 매커니즘, 그리고 버스 (502) 에 연결되며 정보를 프로세싱하는 중앙 처리 유닛 (504) 을 포함한다. 또한, 프로세서 (320) 는 버스 (502) 에 연결되며 정보와 CPU (504) 에 의해 실행되는 명령을 저장하는, RAM (random access memory) 또는 다른 동적 (dynamic) 저장 장치와 같은 메인 메모리 (506) 를 포함한다. 메인 메모리 (506) 는 또한 CPU (504) 에 의해 실행되는 명령들이 실행되는 동안 임시 변수들 또는 다른 중간 정보들을 저장하는데 사용될 수도 있다. 프로세서 (320) 는 또한 버스 (502) 에 연결되며 정적 정보 또는 CPU (504) 를 위한 명령들을 저장하는 ROM (508, read only memory) 또는 다른 정적 (static) 저장 장치를 포함한다. 버스 (502) 와 연결되어 정보 또는 명령들을 저장하는, 자기 디스크 또는 광학적 디스크와 같은 저장 장치 (510) 가 제공된다. 저장 장치 (510) 는 또한 도 3에서 메모리 (340) 로 동작할 수도 있다.
프로세서 (320) 는 컴퓨터 사용자에게 정보를 디스플레이하기 위해 버스 (502)를 통해 CRT (cathode ray tube) 와 같은 모니터 (330) 와 연결될 수도 있다. 정보 및 명령 선택들을 CPU (504) 로 통신하기 위해 문자숫자 및 다른 키들을 포함하는 입력 장치 (514) 가 버스 (502) 에 연결된다. 다른 형태의 사용자 입력 장치는, 정보 및 명령 선택들을 CPU (504) 로 통신하고 모니터 (330) 상의 커서 동작을 제어하기 위한, 마우스, 트랙볼 또는 커서 방향 키들과 같은 커서 제어기 (516) 이다.
이미저 (310) (도 3) 는 상술한 바와 같이 검사중인 반도체 웨이퍼의 형상들을 나타내는 데이터를 버스 (502) 로 입력한다. 이러한 데이터는 메인 메모리 및/또는 저장 장치 (510) 에 저장될 수도 있으며, CPU (504) 가 명령들을 실행할 때 CPU (504) 에 의해 사용될 수도 있다. 이미저 (310) 는 또한 버스 (502) 를 통해 CPU (504) 로부터 명령들을 수신할 수도 있다.
본 발명은 반도체 웨이퍼의 표면상에 형성된 형상들을 검사하고 웨이퍼의 프로세싱을 제어하는 프로세서 (320) 의 사용과 관련이 있다. 본 발명의 일 실시 형태에 따르면, 웨이퍼의 표면 검사와 웨이퍼 프로세스 제어는 메인 메모리 (506) 내에 저장된 하나 또는 그 이상의 명령들의 하나 또는 그 이상의 시퀀스를 실행하는 CPU (504) 에 대응하여 프로세서 (320) 에 의해 제공된다. 이러한 명령들은 저장 장치 (510) 와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리 (506) 내로 판독되어질 수도 있다. 메인 메모리 (506) 에 포함된 명령들의 시퀀스들에 대한 실행은 CPU (504) 가 상술한 프로세스 단계들을 수행하도록 한다. 멀티프로세싱 배열 내의 하나 또는 그 이상의 프로세서는 또한 메인 메모리 (506) 내에 포함된 명령들의 시퀀스달을 실행하는데 이용될 수도 있다. 다른 실시 형태들에서, 배선된 회로가 소프트웨어 명령들을 대체하여 또는 결합하여 본 발명을 구현할 수도 있다. 그러므로, 본 발명의 실시 형태들은 하드웨어 회로와 소프트웨어의 어떤 특정한 결합에 제한되지 않는다. 장치에 대한 프로그래밍은 도 4 의 흐름도에서 제공되는 것에 따라 당업자에 의해 쉽게 수행될 수 있다.
여기서 사용된 "컴퓨터-판독가능 매체 (computer-readable medium)" 이란 용어는 실행을 위해 명령들을 CPU (504) 로 제공하는데 관여하는 임의의 매체를 의미한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함한, 그러나 이에 제한되지 않는 다양한 형태를 취한다. 비휘발성 매체는, 예를 들어 저장 장치 (510) 와 같은 광학적 또는 자기 디스크들을 포함한다. 휘발성 매체는 메인 메모리 (506) 과 같은 동적 메모리를 포함한다. 전송 매체는 버스 (502) 를 구성하는 선들을 포함하여, 동축 케이블, 구리선, 광섬유를 포함한다. 전송 매체는 또한 무선 주파수 (RF : radio frequency) 및 적외선 (IR : infrared) 데이터 통신 동안에 발생되는 것들과 같은 표면파형 또는 광파형의 형태를 취할 수 있다. 컴퓨터-판독가능 매체의 일반적인 형태들은 플로피 디스크, 플렉서블 (flexible) 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 구멍들의 패턴들을 갖는 임의의 다른 물리적 매체, RAM, PROM, EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 또는 컴퓨터가 읽을 수 있는 임의의 다른 매체를 포함한다.
컴퓨터-판독가능 매체의 다양한 형태들이 실행을 위해 컴퓨터로 제공되는 하나 또는 그 이상의 명령들의 하나 또는 그 이상의 시퀀스들을 실행하는데 포함될 수 있다. 예를 들어, 명령들이 처음에 떨어져 있는 컴퓨터의 자기 디스크 상에 생길 수도 있다. 떨어져 있는 컴퓨터는 명령들을 그의 동적 메모리 내로 로딩하고 모뎀을 사용하여 전화선을 통해 명령들을 전송한다. 프로세서 (320) 에 대해 국부적인 모뎀은 전화선상의 데이터를 수신할 수 있으며, 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스에 연결된 적외선 검출기는 적외선 신호내에 포함된 데이터를 수신할 수 있으며, 데이터를 버스 (502) 상에 위치시킨다. 버스 (502) 는 데이터를 메인 메모리 (506) 로 운반하며, CPU (504) 는 메인 메모리로부터 명령들을 검색하여 명령들을 수행한다. 메인 메모리 (506) 에 의해 수신된 명령들은 CPU (504) 에 의해 실행되기 전이나 또는 실행된 후 저장 장치 (510) 에 임의로 저장될 수도 있다.
본 발명의 프로세스 제어 기술은, 포토리소그래피 및 에칭 프로세스 파라미터들을 조정하기 위한 검사 프로세스 동안에 수집된 데이터를 사용하여 생산 작업처리량을 두드러지게 감소시키지 않고 로트로부터 로트까지의 CD 변화들이 감소될 수 있도록 한다. 본 발명의 방법은 그의 SEM 파형과 기생산 (旣生産) FEM을 형성하는 것에 의해 얻어지는 기준 형상들의 라이브러리의 파형들을 비교함으로써 검사된 형상들의 스테퍼 세팅들과 광학적 에칭 레서피를 결정한다. 따라서, 본 발명은 생산 라인의 조업 개시 및 램프-업 동안에 특히 유용하다.
본 발명은 다양한 형태의 반도체 장치들의 제작에 적용할 수 있으며, 특히 약0.18 마이크로 및 그 이하의 설계 규정을 갖는 고밀도 반도체 장치의 제작에 적용할 수 있다.
본 발명은 종래의 물질들, 방법 및 장비를 사용해서 실시할 수 있다. 따라서, 이러한 물질들, 장비 및 방법에 대한 자세한 사항들은 여기서 자세히 언급하지 않는다. 이전의 상세한 설명에서, 본 발명에 대한 완전한 이해를 제공하기 위하여, 특정한 물질들, 구조들, 화학물질들, 프로세스들 등과 같은 다수의 특정한 세부 사항들이 설명되어졌다. 그러나, 본 발명은 특정적으로 설명된 세부사항들에 의지하지 않고도 실행될 수 있다는 것을 인식되어져야 할 것이다. 다른 한편으로는, 불필요하게 본 발명이 불명료해지지 않도록 하기 위해서, 잘 알려진 프로세싱 구조들에 대해서는 설명되지 않았다.
단지 본 발명의 바람직한 실시 형태와 그에 대한 몇 가지 변형들의 예들만이 본 발명의 상세한 설명에 보여지고 설명되어져 있다. 본 발명은 다양한 다른 결합 및 환경 하에서의 이용이 가능하며, 여기에 개시된 본 발명 개념의 관점 내에서 변형 및 변경이 가능하다.

Claims (33)

  1. 반도체 웨이퍼를 프로세싱하는 장치에 있어서,
    상기 웨이퍼 상의 구조의 치수를 측정하는 측정 기구;
    제 1 세트의 프로세스 파라미터 값들을 사용하여 상기 웨이퍼 상에서 제 1 프로세스를 수행하는 제 1 프로세싱 기구;
    상기 측정 기구와 상기 제 1 프로세싱 기구 사이에서 상기 웨이퍼를 운반하는 운반 메커니즘;
    상기 운반 메커니즘을 둘러싸며, 클린 환경 (clean environment) 에서 상기 운반 메커니즘, 상기 측정 기구 및 상기 제 1 프로세싱 기구 사이의 통신이 가능한 챔버; 및
    상기 치수의 상기 측정에 기초하여 상기 제 1 세트의 프로세스 파라미터 값들을 선택하도록 구성되는 프로세서를 포함하는, 반도체 웨이퍼 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 프로세서는,
    상기 웨이퍼 치수의 상기 측정에 기초하여 제 2 세트의 프로세스 파라미터 값들을 선택하고, 상기 제 2 세트의 프로세스 파라미터 값들을 이전에 거친 (visited) 프로세싱 기구로 제공하도록 더 구성되는, 반도체 웨이퍼 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 측정 기구는 상기 웨이퍼 상의 타겟 형상의 임계 치수 (CD) 를 측정하는, 반도체 웨이퍼 프로세싱 장치.
  4. 제 3 항에 있어서,
    상기 측정 기구는 상기 타겟 형상의 상기 CD 를 광학적으로 측정하는, 반도체 웨이퍼 프로세싱 장치.
  5. 제 4 항에 있어서,
    상기 타겟 형상 CD 는 산란측정법 (scatterometry) 또는 반사측정법 (reflectometry) 을 사용하여 측정되는, 반도체 웨이퍼 프로세싱 장치.
  6. 제 3 항에 있어서,
    상기 챔버는,
    상기 제 1 프로세싱 기구를 포함하는 복수의 프로세싱 기구들을 탑재하는 메인프레임;
    상기 측정 기구를 하우징하고 웨이퍼 카세트를 탑재하는 팩토리 인터페이스; 및
    상기 메인프레임과 상기 팩토리 인터페이스들 사이에서 통신하는 운반 챔버를 포함하고,
    상기 운반 메커니즘은,
    상기 측정 기구, 상기 운반 챔버 및 상기 웨이퍼 카세트 사이에서 상기 웨이퍼를 운반하는 제 1 로봇, 및
    상기 운반 챔버 및 상기 제 1 프로세싱 기구 사이에서 상기 웨이퍼를 운반하는 제 2 로봇을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  7. 제 3 항에 있어서,
    상기 제 1 프로세싱 기구는 에처를 포함하고, 제 1 프로세스 파라미터 값들은 에칭 레서피 (recipe) 를 포함하는, 반도체 웨이퍼 프로세싱 장치.
  8. 제 3 항에 있어서,
    상기 측정 기구는 상기 웨이퍼와 정렬되는, 반도체 웨이퍼 프로세싱 장치.
  9. 제 1 항에 있어서,
    상기 프로세서는,
    상기 운반 메커니즘을 제어하여 상기 제 1 프로세스가 상기 웨이퍼 상에서 수행된 후 상기 제 1 프로세싱 기구로부터 상기 측정 기구로 상기 웨이퍼를 운반하며 ; 그리고
    상기 측정 기구를 제어하여 상기 웨이퍼의 상기 치수를 재측정하도록 구성되는, 반도체 웨이퍼 프로세싱 장치.
  10. 제 7 항에 있어서,
    상기 챔버는 상기 에처가 탑재되는 메인프레임, 상기 측정 기구 및 웨이퍼 카세트가 탑재되는 팩토리 인터페이스 및 상기 메인프레임과 상기 팩토리 인터페이스 사이의 운반 메커니즘을 포함하고,
    상기 운반 메커니즘은 상기 측정 기구, 상기 운반 챔버 및 상기 웨이퍼 카세트 사이에서 상기 웨이퍼를 운반하는 로봇을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  11. 제 10 항에 있어서,
    상기 메인프레임에 탑재되어, 상기 제 1 프로세스가 상기 웨이퍼 상에서 수행된 후 상기 웨이퍼로부터 잔류물을 제거하는 애싱 (ashing) 스트립 프로세싱 유닛을 더 포함하는, 반도체 웨이퍼 프로세싱 장치.
  12. 제 10 항에 있어서,
    상기 팩토리 인터페이스에 탑재되어 상기 웨이퍼를 세정하는 (cleaning) 클리닝 모듈을 더 포함하고,
    상기 프로세서는,
    상기 카세트로부터 상기 측정 기구로 상기 웨이퍼를 운반하고, 상기 타겟 형상의 상기 CD 가 측정된 후 상기 측정 기구로부터 상기 제 1 프로세싱 기구로 상기 웨이퍼를 운반하고, 상기 제 1 프로세스가 상기 웨이퍼 상에서 수행된 후 상기 제 1 프로세싱 기구로부터 상기 세정 모듈로 상기 웨이퍼를 운반하고, 그리고 상기 웨이퍼가 세정된 후 상기 세정 모듈로부터 상기 카세트로 상기 웨이퍼를 운반하도록 상기 운반 메커니즘을 제어하는, 반도체 웨이퍼 프로세싱 장치.
  13. 반도체 웨이퍼를 프로세싱하는 방법에 있어서,
    (a) 웨이퍼 카세트로부터 상기 웨이퍼를 제거하는 단계;
    (b) 상기 웨이퍼 상의 구조의 치수를 측정 기구에서 측정하는 단계;
    (c) 상기 치수의 상기 측정에 기초한 제 1 세트의 프로세스 파라미터 값들을 사용하여 프로세싱 기구에서 상기 웨이퍼 상의 프로세스를 수행하는 단계;
    (d) 상기 치수를 재측정하는 단계; 및
    (e) 상기 웨이퍼를 상기 카세트로 반환하는 단계를 포함하고,
    상기 단계 (a) 내지 (e) 는 연속적인 클린 환경에서 수행되는, 반도체 웨이퍼 프로세싱 방법.
  14. 제 13 항에 있어서,
    상기 치수의 상기 재측정을 사용하여 후속의 프로세싱되는 웨이퍼를 위한 프로세스 파라미터 값들의 세트를 선택하는 단계를 더 포함하는, 반도체 웨이퍼 프로세싱 방법.
  15. 제 13 항에 있어서,
    상기 웨이퍼 상에서 에칭 프로세스를 수행하는 단계를 더 포함하는, 반도체 웨이퍼 프로세싱 방법.
  16. 제 15 항에 있어서,
    상기 에칭 프로세스를 수행하는 단계 후 상기 카세트로 상기 웨이퍼를 반환하는 단계 전에 상기 웨이퍼를 세정하는 단계를 더 포함하는, 반도체 웨이퍼 프로세싱 방법.
  17. 제 1 항에 있어서,
    상기 챔버는 복수의 프로세싱 기구를 탑재하는 메인프레임을 포함하고,
    상기 제 1 프로세싱 기구는 상기 메인프레임에 탑재되고, 그리고
    상기 운반 메커니즘은 로봇을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  18. 제 17 항에 있어서,
    상기 측정 기구는 상기 메인프레임에 탑재되는, 반도체 웨이퍼 프로세싱 장치.
  19. 제 10 항에 있어서,
    상기 팩토리 인터페이스에 탑재되어 상기 운반 메커니즘과 통신하는 입자 모니터를 더 포함하는, 반도체 웨이퍼 프로세싱 장치.
  20. 반도체 웨이퍼를 프로세싱하는 장치에 있어서,
    상기 웨이퍼를 투상하여(imaging) 상기 웨이퍼 상의 타겟 형상의 CD 를 나타내는 파형을 구하는 측정 기구;
    복수의 기준 파형을 저장하며, 상기 기준 파형 각각은 기준 형상 CD 를 나타내고 제 1 프로세스 파라미터 값들의 상이한 기지(旣知)의 세트와 관련되는 저장 매체; 및
    상기 타겟 형상 파형에 가장 근접하게 매칭되는 상기 기준 파형을 식별하여 상기 웨이퍼 상에 제 1 프로세스를 수행하기 위한 상기 제 1 프로세스 파라미터를 구하도록 구성되는 프로세서를 포함하는, 반도체 웨이퍼 프로세싱 장치.
  21. 제 20 항에 있어서,
    상기 웨이퍼 상에서 상기 제 1 프로세스를 수행하는 제 1 프로세싱 기구;
    상기 웨이퍼를 상기 측정 기구와 상기 제 1 프로세싱 기구 사이에서 운반하는 운반 메커니즘; 및
    상기 운반 메커니즘을 포함하여 둘러싸며, 클린 환경에서 상기 운반 메커니즘, 상기 측정 기구 및 상기 제 1 프로세싱 기구 사이의 통신을 허용하는 챔버를 포함하고,
    상기 프로세서는 상기 제 1 프로세싱 기구를 제어하여 상기 제 1 세트의 프로세스 파라미터 값들을 사용하여 상기 웨이퍼 상의 상기 제 1 프로세스를 수행하도록 더 구성되는, 반도체 웨이퍼 프로세싱 장치.
  22. 제 21 항에 있어서,
    상기 프로세서는,
    상기 타겟 형상 CD의 상기 투상에 기초하여 제 2 세트의 프로세스 파라미터 값들을 선택하고, 그리고
    상기 제 2 세트의 프로세스 파라미터 값들을 이전에 거친 프로세싱 기구로 제공하도록 더 구성되는, 반도체 웨이퍼 프로세싱 장치.
  23. 제 21 항에 있어서,
    상기 측정 기구는 상기 타겟 형상 CD 를 광학적으로 투상하는, 반도체 웨이퍼 프로세싱 장치.
  24. 제 21 항에 있어서,
    상기 제 1 프로세싱 기구는 에처를 포함하고, 상기 제 1 프로세스 파라미터 값들은 에칭 레서피를 포함하는, 반도체 웨이퍼 프로세싱 장치.
  25. 제 21 항에 있어서,
    상기 프로세서는,
    상기 운반 메커니즘을 제어하여 상기 제 1 프로세스가 상기 웨이퍼 상에서 수행된 후 상기 제 1 프로세싱 기구로부터 상기 측정 기구로 상기 웨이퍼를 운반하고, 상기 측정 기구를 제어하여 상기 웨이퍼의 상기 치수를 재측정하도록 구성되는, 반도체 웨이퍼 프로세싱 장치.
  26. 제 21항에 있어서,
    상기 챔버는,
    상기 제 1 프로세싱 기구를 포함한 복수의 프로세싱 기구들을 탑재하는 메인프레임;
    상기 측정 기구를 둘러싸고 웨이퍼 카세트를 탑재하는 팩토리 인터페이스; 및
    상기 메인프레임과 상기 팩토리 인터페이스 사이에 위치하여 통신하는 운반 챔버를 포함하며,
    상기 운반 메커니즘은,
    상기 측정 기구, 상기 운반 챔버 및 상기 웨이퍼 카세트 사이에서 상기 웨이퍼를 운반하는 제 1 로봇, 및
    상기 운반 챔버 및 상기 제 1 프로세싱 유닛 사이에서 상기 웨이퍼를 운반하는 제 2 로봇을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  27. 제 21 항에 있어서,
    상기 챔버는 상기 에처가 탑재된 메인프레임, 상기 측정 기구 및 웨이퍼 카세트가 탑재된 팩토리 인터페이스 및 상기 메인프레임 및 상기 팩토리 인터페이스 사이의 운반 챔버를 포함하며,
    상기 운반 메커니즘은 상기 측정 기구, 상기 운반 챔버 및 상기 웨이퍼 카세트 사이에서 웨이퍼를 운반하는 로봇을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  28. 제 20 항에 있어서,
    상기 기준 파형들 중 하나를 골든 파형으로 선택하고;
    상기 타겟 형상 파형을 상기 골든 파형과 비교하고; 그리고
    상기 타겟 형상 파형이 상기 골든 파형으로부터 소정의 문턱 값 이상 벗어나는 경우, 상기 타겟 형상 파형을 라이브러리 내의 다른 기준 파형들과 비교하여 상기 타겟 형상 파형과 가장 근접하게 매칭하는 상기 기준 파형을 식별하는 것을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  29. 제 22 항에 있어서,
    상기 이전에 거친 프로세싱 기구는 포토리소그래피 프로세싱 기구이며,
    상기 프로세스 파라미터 값들의 제 2 세트는 스테퍼 포커스 및 노광 세팅들을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  30. 제 1 항에 있어서,
    상기 웨이퍼 상의 상기 구조는 포토레지스트 레이어 내의 패턴이고,
    상기 제 1 프로세싱 기구는 제 1 에처이고, 그리고
    상기 제 1 프로세스 파라미터 값들은 상기 포토레지스트 레이어 내의 상기 패턴을 트리밍 (trimming) 하는 제 1 에칭 레서피를 포함하며,
    상기 프로세서는,
    상기 운반 메커니즘을 제어하여 웨이퍼 상에서 상기 제 1 프로세스가 수행된 후 상기 제 1 에처로부터 상기 측정 기구로 상기 웨이퍼를 운반하고;
    상기 측정 기구를 제어하고상기 포토레지스트 패턴의 상기 치수를 재측정하고;
    운반 메커니즘을 제어하여 상기 포토레지스트 패턴의 상기 치수가 재측정된 후 상기 측정 기구로부터 제 2 에처로 상기 웨이퍼를 운반하고;
    에칭된 형상을 형성하기 위한 제 2 에칭 레서피를 사용하여 상기 제 2 에처가 상기 포토레지스트 패턴의 아래에 있는 상기 웨이퍼의 레이어 상에서 제 2 프로세스를 수행하도록 제어하고; 그리고
    상기 포토레지스트 패턴의 치수에 대한 재측정을 사용하여 연속적으로 프로세싱되는 웨이퍼에 대한 프로세스 파라미터 값들의 상기 제 1 세트를 선택하도록 더 구성되는, 반도체 웨이퍼 프로세싱 장치.
  31. 제 30 항에 있어서,
    상기 프로세서는,
    운반 메커니즘을 제어하여 상기 제 2 프로세스가 수행된 후 상기 제 2 에처로부터 상기 측정 기구로 상기 웨이퍼를 운반하고; 그리고
    측정 기구를 제어하여 상기 에칭된 형상의 치수를 측정하도록 더 구성되는, 반도체 웨이퍼 프로세싱 장치.
  32. 제 31 항에 있어서,
    상기 프로세서는 상기 에칭된 형상의 상기 치수에 대한 상기 측정을 이용하여 연속적으로 프로세싱된 웨이퍼에 대한 프로세스 파라미터 값들의 상기 제 2 세트를 선택하도록 더 구성되는, 반도체 웨이퍼 프로세싱 장치.
  33. 제 32 항에 있어서,
    상기 프로세서는 상기 에칭된 형상의 상기 치수에 대한 상기 측정을 이용하여 연속적으로 프로세싱된 웨이퍼에 대한 프로세스 파라미터 값들의 상기 제 1 세트를 선택하도록 더 구성되는, 반도체 웨이퍼 프로세싱 장치.
KR10-2003-7015045A 2001-07-10 2002-07-01 집적된 피드백/피드포워드 측정장비를 갖는 반도체프로세싱 모듈 KR20040020906A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/901,462 US6625497B2 (en) 2000-11-20 2001-07-10 Semiconductor processing module with integrated feedback/feed forward metrology
US09/901,462 2001-07-10
PCT/US2002/020705 WO2003007365A2 (en) 2001-07-10 2002-07-01 Semiconductor processing module with integrated feedback/feed forward metrology

Publications (1)

Publication Number Publication Date
KR20040020906A true KR20040020906A (ko) 2004-03-09

Family

ID=25414232

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7015045A KR20040020906A (ko) 2001-07-10 2002-07-01 집적된 피드백/피드포워드 측정장비를 갖는 반도체프로세싱 모듈

Country Status (7)

Country Link
US (2) US6625497B2 (ko)
EP (1) EP1405338A2 (ko)
JP (1) JP2005521235A (ko)
KR (1) KR20040020906A (ko)
AU (1) AU2002316463A1 (ko)
TW (1) TW546697B (ko)
WO (1) WO2003007365A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100985675B1 (ko) * 2008-11-17 2010-10-05 하가전자 주식회사 전등 스위치선에 연결되어 사용되는 방안 온도조절기
KR101504504B1 (ko) * 2008-05-21 2015-03-20 케이엘에이-텐코어 코오포레이션 툴 및 프로세스 효과들을 분리하기 위한 기판 매트릭스

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7187994B1 (en) * 2000-08-18 2007-03-06 Kla-Tencor Technologies Corp. Method of interfacing ancillary equipment to FIMS processing stations
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7282889B2 (en) * 2001-04-19 2007-10-16 Onwafer Technologies, Inc. Maintenance unit for a sensor apparatus
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
DE10294520B4 (de) * 2001-07-31 2007-05-16 Asahi Chemical Micro Syst Steuerungssystem für eine Halbleiterherstellungsvorrichtung
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
WO2003026001A2 (en) * 2001-09-18 2003-03-27 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6895295B1 (en) * 2002-05-06 2005-05-17 Advanced Micro Devices, Inc. Method and apparatus for controlling a multi-chamber processing tool
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6924088B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
DE10252614A1 (de) * 2002-11-12 2004-05-27 Infineon Technologies Ag Verfahren, Vorrichtung, computerlesbares Speichermedium und Computerprogramm-Element zum Überwachen eines Herstellungsprozesses einer Mehrzahl von physikalischen Objekten
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
CN1720490B (zh) 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US6939476B1 (en) * 2002-11-20 2005-09-06 National Semiconductor Corporation Method for real time metal ETCH critical dimension control
US6830941B1 (en) * 2002-12-17 2004-12-14 Advanced Micro Devices, Inc. Method and apparatus for identifying individual die during failure analysis
WO2004063883A2 (en) * 2003-01-09 2004-07-29 Evolution Robotics, Inc. Vision- and environment-based programming of robots and/or computer systems
US6934929B2 (en) * 2003-01-13 2005-08-23 Lsi Logic Corporation Method for improving OPC modeling
US7480889B2 (en) 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US7698665B2 (en) * 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US6889149B2 (en) * 2003-04-25 2005-05-03 Asm International N.V. System and method for fingerprinting of semiconductor processing tools
US6808942B1 (en) 2003-05-23 2004-10-26 Texas Instruments Incorporated Method for controlling a critical dimension (CD) in an etch process
US7228257B1 (en) * 2003-06-13 2007-06-05 Lam Research Corporation Architecture for general purpose programmable semiconductor processing system and methods therefor
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
US7085676B2 (en) * 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8032348B2 (en) * 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US20050136335A1 (en) * 2003-12-17 2005-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Patterned microelectronic mask layer formation method employing multiple feed-forward linewidth measurement
US6999848B2 (en) * 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7018855B2 (en) * 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7289864B2 (en) * 2004-07-12 2007-10-30 International Business Machines Corporation Feature dimension deviation correction system, method and program product
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
JP2006128572A (ja) * 2004-11-01 2006-05-18 Tokyo Electron Ltd 露光条件補正方法、基板処理装置およびコンピュータプログラム
JP4527652B2 (ja) * 2004-11-16 2010-08-18 東京エレクトロン株式会社 露光条件設定方法、基板処理装置およびコンピュータプログラム
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060240651A1 (en) * 2005-04-26 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for adjusting ion implant parameters for improved process control
JP2006339364A (ja) * 2005-06-01 2006-12-14 Toshiba Corp 洗浄方法及び洗浄装置
US7707541B2 (en) * 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
US7921385B2 (en) * 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
WO2007041602A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
US7793253B2 (en) * 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
WO2007058240A1 (ja) * 2005-11-16 2007-05-24 Nikon Corporation 基板処理方法、フォトマスクの製造方法及びフォトマスク、並びにデバイス製造方法
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US20080011322A1 (en) * 2006-07-11 2008-01-17 Frank Weber Cleaning systems and methods
WO2008039674A2 (en) 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7493186B2 (en) * 2006-12-20 2009-02-17 International Business Machines Corporation Method and algorithm for the control of critical dimensions in a thermal flow process
US8688254B2 (en) * 2007-06-15 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple tools using a single data processing unit
US8232212B2 (en) 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8797721B2 (en) 2010-02-02 2014-08-05 Apple Inc. Portable electronic device housing with outer glass surfaces
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
JP5652654B2 (ja) * 2011-02-07 2015-01-14 株式会社村田製作所 成膜システム及び成膜方法
TWI456684B (zh) * 2011-06-29 2014-10-11 Grand Plastic Technology Co Ltd 濕製程設備晶圓夾自動進出旋乾機之裝置
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US9064807B2 (en) * 2013-02-27 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
WO2016037003A1 (en) 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10234401B2 (en) * 2016-02-22 2019-03-19 Qoniac Gmbh Method of manufacturing semiconductor devices by using sampling plans
US9966316B2 (en) 2016-05-25 2018-05-08 Toshiba Memory Corporation Deposition supporting system, depositing apparatus and manufacturing method of a semiconductor device
MY196886A (en) * 2016-06-02 2023-05-08 Universal Instruments Corp Semiconductor die offset compensation variation
US20200006100A1 (en) * 2018-03-20 2020-01-02 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10727143B2 (en) * 2018-07-24 2020-07-28 Lam Research Corporation Method for controlling core critical dimension variation using flash trim sequence
US11574846B2 (en) * 2019-12-15 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate formation of semiconductor devices
WO2021225587A1 (en) * 2020-05-06 2021-11-11 Kla Corporation Inter-step feedforward process control in the manufacture of semiconductor devices
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备
CN112327581B (zh) * 2020-10-29 2023-08-18 中国科学院微电子研究所 用于获取最佳曝光剂量的设计版图的优化方法及电子束曝光方法
US12013350B2 (en) * 2021-05-05 2024-06-18 Onto Innovation Inc. Effective cell approximation model for logic structures

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
TW276353B (ko) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
IL107549A (en) * 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) * 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
EP0973068A3 (en) * 1998-07-14 2001-05-30 Nova Measuring Instruments Limited Method and system for controlling the photolithography process
JP3838788B2 (ja) * 1998-09-04 2006-10-25 ユニ・チャーム株式会社 ウェットティッシュの積層体並びにこれが収納された製品
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
DE19952195A1 (de) * 1999-10-29 2001-05-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6707562B1 (en) * 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101504504B1 (ko) * 2008-05-21 2015-03-20 케이엘에이-텐코어 코오포레이션 툴 및 프로세스 효과들을 분리하기 위한 기판 매트릭스
KR100985675B1 (ko) * 2008-11-17 2010-10-05 하가전자 주식회사 전등 스위치선에 연결되어 사용되는 방안 온도조절기

Also Published As

Publication number Publication date
EP1405338A2 (en) 2004-04-07
US20030106642A1 (en) 2003-06-12
WO2003007365A3 (en) 2003-08-28
US20020155629A1 (en) 2002-10-24
AU2002316463A1 (en) 2003-01-29
TW546697B (en) 2003-08-11
WO2003007365A2 (en) 2003-01-23
JP2005521235A (ja) 2005-07-14
US6625497B2 (en) 2003-09-23

Similar Documents

Publication Publication Date Title
KR20040020906A (ko) 집적된 피드백/피드포워드 측정장비를 갖는 반도체프로세싱 모듈
US6486492B1 (en) Integrated critical dimension control for semiconductor device manufacturing
US6858361B2 (en) Methodology for repeatable post etch CD in a production tool
US6924088B2 (en) Method and system for realtime CD microloading control
JP4990548B2 (ja) 半導体装置の製造方法
JP5416329B2 (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
US6960416B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP5028473B2 (ja) ウェハ均一性制御を用いた動的サンプリング測定法
US7234128B2 (en) Method for improving the critical dimension uniformity of patterned features on wafers
US11300887B2 (en) Method to change an etch parameter
US6421457B1 (en) Process inspection using full and segment waveform matching
KR102631626B1 (ko) 리소그래피 프로세스를 제어하기 위한 방법 및 장치
Hartig et al. Practical aspects of TMU based analysis for scatterometry model referencing AM: Advanced metrology
CN100403505C (zh) 生产工具中实现可重复蚀刻后临界尺寸的方法
Allgair et al. Applications of image diagnostics to metrology quality assurance and process control

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee