JP2004151717A - フルチップcpl製造におけるcd線形コントロールの方法 - Google Patents

フルチップcpl製造におけるcd線形コントロールの方法 Download PDF

Info

Publication number
JP2004151717A
JP2004151717A JP2003359977A JP2003359977A JP2004151717A JP 2004151717 A JP2004151717 A JP 2004151717A JP 2003359977 A JP2003359977 A JP 2003359977A JP 2003359977 A JP2003359977 A JP 2003359977A JP 2004151717 A JP2004151717 A JP 2004151717A
Authority
JP
Japan
Prior art keywords
zone
features
mask
chrome
generating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003359977A
Other languages
English (en)
Other versions
JP4323919B2 (ja
Inventor
Den Broeke Douglas Van
ファン デン ブローク ダグラス
Chungwei Hsu
スー チェンウェイ
Jang Fung Chen
フン チェン ジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML MaskTools Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV filed Critical ASML MaskTools Netherlands BV
Publication of JP2004151717A publication Critical patent/JP2004151717A/ja
Application granted granted Critical
Publication of JP4323919B2 publication Critical patent/JP4323919B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof

Abstract

【課題】所定のマスクパターンに含まれるフィーチャのCD(臨界寸法)およびピッチが変わる際、CDのプリンティングに関して「線形」挙動を形成し、これを維持するための簡単で系統的なアプローチを可能にする方法および技術を提供する。
【解決手段】臨界寸法が変わる複数のフィーチャを含むパターンをプリントするためのマスクを生成する方法を提供する。該方法は、パターンを示すデータを取得するステップ120と、複数のフィーチャの臨界寸法に基づいて複数のゾーン区分を定義するステップ122と、区分された複数のゾーンの1つに各フィーチャを分類するステップ124と、複数区分ゾーンの予め定義されたゾーンに分類がなされたフィーチャごとにマスクパターンを修正するステップ126,128,130とから成る。
【選択図】図12

Description

本発明は、一般的に、クロムレス位相リソグラフィ(CPL)技術において使用するマスクパターンの生成に関するものである。さらに詳細に述べると、CPLが使用されるとき、結像される臨界寸法(CD)の範囲に渡りCDをコントロールし、それを維持する方法および技術に関する。
(関連出願)
本出願は、35 U.S.C.§119のもとに、2002年9月11日に出願された米国特許仮出願番号60/409,599号における優先権の請求を行っている。その全内容を本明細書に引用するものとする。
リソグラフィ投影装置(ツール)は例えば、集積回路(IC)の製造において使用可能である。この場合、マスクはICの個々の層に対応する回路パターンを含む。そして、放射線感光原料(レジスト)の層が塗布された基板(シリコンウェハ)上の目標部分(例えば1つあるいはそれ以上のダイから成る)にこのパターンを像形成することが出来る。一般的に、シングルウェハは、投影システムを介して1つずつ順次照射される近接目標部分の全体ネットワークを含んでいる。リソグラフィ投影装置の一タイプでは、全体マスクパターンを目標部分に1回の作動にて露光することによって各目標部分が照射される。こうした装置は一般的にウェハステッパと称されている。ステップアンドスキャン装置と称される別の装置では、所定の基準方向(「スキャニング」方向)にマスクパターンを投影ビーム下で徐々にスキャニングし、これと同時に基板テーブルをこの方向と平行に、あるいは逆平行にスキャニングすることにより、各目標部分が照射される。一般的に、投影装置は倍率係数M(一般的に、<1)を有することから、基板テーブルが走査される速度Vは、マスクテーブルが走査される速度の係数M倍となる。ここに記載を行ったリソグラフィデバイスに関するさらなる情報は、参考までに記載を行うと、例えば、米国特許第US6,046,792号から得ることが出来る。
リソグラフィ投影装置を使用する製造工程において、マスクパターンは少なくとも部分的に放射線感光材(レジスト)の層で覆われた基板上に像形成される。この像形成ステップに先立ち、基板は、プライミング、レジスト塗布、およびソフトベークといったような各種の工程を経る。露光後、基板は、露光ベーク(PEB)、現像、ハードベーク、および像形成フューチャの測定/検査といったような他の工程を通る。これらの工程の組合せ、例えばICといったような素子の個々の層をパターン化するための基本的なものである。このようなパターン形成された層は、それから、全て個々の層を仕上げる目的である、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等といった種々のプロセスを経る。数枚の層が必要とされる場合には、全体工程、もしくはその変形をそれぞれの新しい層に繰り返す必要がある。最終的に、素子のアレイが基板(ウェハ)上に形成される。次に、これらの素子はダイシングやソーイングといったような技法で相互より分離される。その後に個々の素子は、キャリアに装着されたり、ピンに接続されたりし得る。こうした工程に関するさらなる情報は、参考までに例をあげると、1997年にマグローヒル出版会社より刊行された、Peter van Zant著、「マイクロチップ製造:半導体処理に対する実用ガイド」という名称の書籍(“Microchip Fabrication:A Pratical Guide to Semiconductor Processing”)の第3版、ISBN0−07−067250−4で説明されている。
リソグラフィツールは2つあるいはそれ以上の基板テーブル(および、あるいは2つもしくはそれ以上のマスクテーブル)を有するタイプのものである。このような「多段」デバイスにおいては、追加のテーブルが並列して使用される。もしくは、1つ以上の他のテーブルが露光に使用されている間に予備工程が1つ以上のテーブルにて実行される。例えば、ツインステージリソグラフィツールについて、米国特許第US5,969,441号および国際特許出願第WO98/40791号において記載がなされている。これら両方の内容を本明細書に引用したものとする。
上記に相当するフォトリソグラフィマスクは、シリコンウェハ上に集積される回路部品に対応した幾何学的パターンから成る。そのようなマスクを作り出すために使用されるパターンはCAD(計算機援用設計)プログラムを用いて生成される。しばしばこの工程はEDA(自動電子設計)に相当する。ほとんどのCADプログラムは機能マスクを作り出すための所定の一式の設計ルールに従っている。こうしたルールは処理および設計上の制限によって定められている。例えば、回路素子間、もしくはライン間において所望でない方法で相互作用することがないよう、設計ルールでは回路デバイス(例えば、ゲート、コンデンサ等)間、あるいは相互作用するライン間のスペース許容値を限定している。
当然、集積回路製造における目標の一つは、ウェハ上にオリジナルの回路設計を(マスクを介して)忠実に再現することである。また別の目標に、半導体ウェハのリアルエステート(スペース)を出来るだけ有効活用することがある。しかし、集積回路のサイズが減じられ、かつ、その密度が増すにつれて、その対応するマスクパターンのCD(臨界寸法)は、光学露光ツールの解像度の限界に近づきつつある。露光ツールの解像度は、露光ツールがウェハ上に繰り返し露光可能な最小のフィーチャであると定義される。現状の露光装置の解像値がしばしば多くのアドバンドIC回路設計のCDを制約している。
さらに、マイクロプロセッサ速度、メモリのパッキング密度、および微小電子部品の低電力消費における絶え間のない改良は、半導体デバイスのさまざまに異なる層へのパターン搬送およびパターン形成を行うためのリソグラフィ技術の性能に直接関連する。こうした技術における現況では、使用可能な光源波長よりかなり低いCDウェルのパターニングを必要とする。例えば、248nmである現在の製造波長は、100nm未満のCDのパターニングが要される。「国際半導体技術ロードマップ」(“The International Technology Roadmap for Semiconductors”)(ITRS 2000)に記載されているように、この産業的傾向は続くものと思われる。また、おそらくここ5年から10年にかけて速進することであろう。
フォトリソグラフィ装置の解像度/プリンティング性能をさらに改善するための、現在フォトリソグラフィ分野で特に注目されている一技術に、クロムレス位相リソグラフィ「CPL」がある。周知のように、CPL技術を用いる場合、結果のマスクパターンは一般的に、クロムを使用する技術と同様、クロムの使用を必要としない構造(ウェハ上にプリントされるフィーチャに対応する)を含む。(すなわちフィーチャは位相シフト技術によりプリントされる。)こうした位相シフトマスクについては、米国特許出願番号6,312,854号並びに6,335,130号において開示されており、詳細はこれら両方の内容を参照されたい。
ウェハ上に所望のパターンがプリントされるよう、さまざまな技法を用いるマスク構造が全て許容し得る方法で相互作用することを実証することがマスク設計者にとって必要である。さらに、プリントされるフィーチャのCDが変わるので、CDが正確に再現されることが強く望まれる。言い換えると、製造工程を簡易にするため、かつ、意図した結果を得るための異なるセッティングでの多重照明の必要を最小限にするために、さまざまな幅のCDをプリントする際、「線形」的性質がある(すなわちCD直線性カーブが線形であるべきである)ことが強く望まれる。
現在、CDの線形を達成するいくつかの解決法が知られている。これらの解決法にはCPLハーフトーニング、および光学的近接補正(OPC)のための散乱バー使用が含まれる。
散乱バー(SB)OPCは、SBをメインフィーチャエッジの近くに配置することにより、変化する「局所的透過」がしばしば見られる。SBは空中像スロープを変調することが可能である。図1から図3は、一般的DUV/ArF(193nm)/4x、NA=0.75、クェーサー(0.87,0.57)を用いて、空中像変調のためのSB効果、SBサイズ効果、およびSB配置効果を図示したものである。図1は、SBがない場合、50nmセンターSBの場合、および50nmダブルSBの場合におけるドーズ量プロファイルを図示したものである。図2は、SBがない場合(点)、20nmSB(円)、30nmSB(“+”印)、40nmSB(“x”)、および50nmSB(ボックス)の場合における、80nm分離での、クロム散乱バー(CrSB)による25mJ−0.24しきい値のクロムレスマスクにおける、CD対ピッチの図表である。図2は、より大きいSBが高いフィーチャCDを生じることを示している。例えば、20nmSBの場合、メインフィーチャCDは550nm(X軸)のピッチにおいて95nmである。また、50nmSBの場合、メインフィーチャCDは550nmのピッチにおいて125nmである。
図3は、SBがない場合(点)、70nm分離(円)、80nmSB分離(”+“印)、90nmSB分離(“x”)、100nmSB分離(ボックス)、110nmSB分離(ソリッドボックス)、120nmSB分離(三角)、130nm分離(白抜き円)、140nmSB分離(バー)、150nmSB分離(ブラック“X”)、および160nmSB分離(ボックス)の場合における、さまざまな分離での、クロム散乱バー(CrSB)による25mJ−0.24しきい値のクロムレスマスクにおける、CD対ピッチのまた別の図表である。図3はSB配置(メインフィーチャエッジからのSB分離)の効果を示したものであり、ここで、より近いSB配置は高いフィーチャCDを生じることを示している。例えば、70nmでのSBに関して、メインフィーチャCDは550nmのピッチ(X軸)において110nmであり、また、160nmでのSBに関して、メインフィーチャCDは550nmのピッチ(X軸)において〜77nmである。
このような解決法は数多くの状況の、ある特定の状態において利用され得るが、こうした解決法は実行が非常に難しいものとなっている。例えば、あるマスク設計において、必要な箇所に、所望の寸法を有するSBを挿入することが極めて困難な傾向にある。
従って、所定のマスクパターンに含まれるフィーチャのCDが変わるとき、CDのプリンティングに関して「線形」挙動を形成し、それを維持するための簡単、かつ系統的なアプローチを可能にする方法および技術が求められる。
前述の要求を解決するための努力において、本発明の目的の一つは、所定のマスクパターンに含まれるフィーチャのCD(臨界寸法)およびピッチが変わるときに、CDのプリンティングに関して「線形」挙動を形成し、これを維持するための簡単で系統的なアプローチを可能にする方法および技術を提供することである。
さらに詳細に述べると、典型的な一実施形態において、本発明は、臨界寸法が変わる複数のフィーチャを含むパターンをプリントするためのマスクを生成する方法に関する。該方法は、(1)パターンを示すデータを取得するステップと、(2)複数のフィーチャの臨界寸法に基づいて、複数のゾーン区分を画成するステップと、(3)区分された複数のゾーンの1つに各フィーチャを分類するステップと、(4)複数区分ゾーンの予め定義された区分ゾーンに分類がなされたフィーチャごとに、マスクパターンを修正するステップとから成る。
本発明の使用法に関して、本文ではICの製造において詳細なる参照説明を行うものであるが、本発明が他の多くの用途においても使用可能であることは明確に理解されるべきである。例えば、本発明による装置は、集積光学装置、磁気ドメインメモリ用ガイダンスおよび検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッド等の製造に使用され得る。こうした代替的な用途においては、本文にて使用した「レチクル」、「ウェハ」、「ダイ」といった用語は、それぞれ「マスク」、「基板」、「目標部分」といった、より一般的な用語に置き換えて使用され得ることは当該技術分野の専門家にとって明らかである。
本明細書において使用する「放射線」および「ビーム」という用語は、紫外線(例えば、365nm、248nm、193nm、157nm、あるいは126nmの波長を有する)、およびEUV(極紫外線、例えば5nm−20nmの範囲の波長を有する)を含む、あらゆるタイプの電磁放射線を網羅するものである。
本明細書において使用する「マスク」なる用語は、入射する放射線ビームに、基板の目標部分に作り出されるべきパターンと一致するパターン化断面を与えるために使用し得る一般的なパターニング手段に相当するものとして広義に解釈されるべきである。また、「ライトバルブ」なる用語もこうした状況において使用される。標準的なマスク(透過マスクもしくは反射マスク、すなわちバイナリマスク、位相シフトマスク、ハイブリッドマスク等)の他に、こうしたパターニング手段の他の例に以下が含まれる。すなわち、
a)プログラマブルミラーアレイ。このようなデバイスの一例として、粘弾性制御層および反射面を有するマトリクスアドレス可能面があげられる。こうした装置の基本的原理は、(例えば)反射面のアドレスされた領域は入射光を回折光として反射するが、アドレスされていない領域は入射光を非回折光として反射するといったことである。適切なフィルタを使用することにより、回折光のみを残して上記非回折光を反射ビームからフィルタすることが可能である。この方法において、ビームはマトリクスアドレス可能面のアドレスパターンに従ってパターン形成される。必要とされるマトリクスアドレッシングは適切な電子手段を用いて実行される。ここに参照を行ったようなミラーアレイに関するより多くの情報は、例えば、米国特許第US5,296,891号および同第US5,523,193号から得ることが出来る。詳細にはこれら文献の内容を参照されたい。
b)プログラマブルLCDアレイ。このような構成の例が米国特許第US5,229,872号に開示されている。詳細は、この文献の内容を参照されたい。
本発明の方法は従来技術に重大な効果をもたらす。例えば、本発明は、所定のマスクパターンに含まれるフィーチャのCDおよびピッチが変わるとき、CDのプリンティングに関して「線形」挙動を形成し、これを維持するための簡単で系統的なアプローチを提供する。さらに、本発明は、クロムレス位相リソグラフィ(CPL)技術に関連する解像度の向上を可能にする簡単な方法を提供する。
以降に記載する本発明の典型的な実施例の詳細説明により、本発明のさらなる効果が当業者において明らかとなろう。
また、以降に記載の詳細説明および添付の図面を参照にすることで、本発明の全容、かつ、さらなる目的および効果がより容易に理解可能となろう。
クロムレス位相リソグラフィ(CPL)マスクの臨界寸法(CD)の線形カーブの分析は、MEEF(Mask error enhancement factor)を考察すると有益である。本発明において、CPLマスクといったようなクロムレス位相シフトマスクのCDの線形カーブは基本的に、正のMEEFを有する第一結像領域、近ゼロのMEEFを有する第二結像領域、および負のMEEFを有する第三結像領域の3つの領域に分けられる。近ゼロMEEFおよび負のMEEFの場合、基本的にCD線形性を達成することは不可能であると広く認識されている。
図4a−4cは、0.3強度のしきい値での像のCD対フィーチャ幅の図表により、典型的なCPLマスクフィーチャの空中像挙動を示したものである。図4aに示しているように、結像されたフィーチャ10は、図4bに示すように例えば水晶マスク基板16上に形成される、隣接する2つの位相エッジ12および14によって画成される幅(すなわちCD)を有する。図4aの例にて使用されるフィーチャのピッチは300nmである。さらに、図の例において、クェーサー照明設定は、0.85outer/0.55inner/30度である。
図5に示すように、基本的に空中像スロープの異なる3つの領域が観察される。すなわち、正の空中像スロープを有する第一領域、近ゼロ空中像スロープを有する第二領域、かつ、負の空中像スロープを有する第三領域である。第一領域は、小さい幅のCPLフィーチャの特徴であり、2つの位相エッジが互いに近い。図5に示すように、第一領域において、フィーチャのCDが増すと、ウェハ上に像形成される結果のフィーチャCDもほぼ線形に増す。第一領域において、2つの位相エッジは密に相互作用し、シングルラインパターンを形成する。
第二領域は、2つの隣接するクロムレス位相エッジを使用して達成し得る最大フィーチャサイズを示す。図5に示しているように、第二領域において、空中像のスロープはゼロに近い。この図から、フィーチャ幅が増え続けても、ウェハ上に像形成される結果のフィーチャ幅はもはや線形には増えないことが分かる。(すなわち、フィーチャ幅の変化に関わらず、結像されるフューチャ幅は基本的に同じままである。)これは、第二領域において、正確な像形成に必要な相互作用を2つの位相エッジが失い始めていることによるものである。
第三領域においては、2つの位相エッジがあまりにも離れすぎているため、像形成中に十分な相互作用を得ることが不可能である。結果として、図5に示すように、像形成されたフィーチャ幅は所望のフィーチャ幅とはもはや明確に一致しない。図5の空中像挙動は固定のピッチを有するCPLフィーチャに対応するものであるが、実際上、ピッチの変化もまた結果的CDに影響を与えることを注記する。従い、以下に記載を行うように、3つの「ゾーン」を決定する際、本発明は結果CDにおけるピッチ変化による影響もまた考慮に入れるものとする。
上記に述べたように、MEEFは、プリントされるレジストフィーチャCDの変化と、対応するマスクフィーチャCDの変化との間の比である。MEEF=1は、マスクCD変化に対して1:1の比率を有するレジストCD変化(すなわち線形反応)に当たる。MEEF<1は、マスクCDにおける同じ変化量よりも少ないレジストCD変化に当たる。MEEF>1は、マスクCDにおける同じ変化量よりも大きいレジストCD変化に当たる。MEEF=0は、マスクフィーチャのCD変化と一致しないレジストフィーチャCDに当たる。一般的に、ウェハ上の最適なCD調整のためには、MEEFが1もしくはこれ未満であることが望ましい。しかし、MEEFがゼロに近い場合、ウェハ上に対応するCDをプリントするためにマスクフィーチャCDを変更することが不可能であることから、所望のウェハCDターゲットを達成することが出来ないことを意味する。MEEFが負のとき、ウェハCDはマスクCDよりも小さくプリントされることを意味する。
前述において示されているように、CPL技術を用いて第一領域内のCDはウェハ上に正確に再現されるが、第二領域および第三領域内のCDは正確には再現されない。さらに詳細に述べると、第二領域内のフィーチャ幅(すなわちCD)に関して、プリントされるフィーチャはマスク上のフィーチャのCDに関係なく固定されたままの傾向にある。これはおもに空中像の近ゼロスロープのためである。第二領域におけるターゲットCDは一般的にクロムフィーチャにより像形成可能であるものよりも小さい。さらに、ゼロ空中像スロープは、マスクデータバイアスを用いてのCD調整をほとんど不可能とする。よって、光学的近接補正が不可能である。第三領域において、正のマスクバイアスは負のイメージバイアスを生じることから、光学的近接補正は困難である。第三領域はまた非常に貧弱なプロセスウィンドウにその特徴を有する。第三領域において、ある特定のサイズよりも大きいマスクフィーチャサイズに関して、像は全く形成されない。
広い範囲のフィーチャ幅およびピッチにおいてCDの線形を維持することに関する前述の問題を考察し、本発明は、同一処理条件を用いて広範囲のCDを基板上に正確に再現出来るよう、第一領域、第二領域、および第三領域の各領域において、CDの線形を維持するための方法および技術を提供する。
その工程の第一ステップにおいて、第一領域、第二領域、および第三領域もしくはゾーンの各々に含まれるCDを画成にすることが必要である。本発明において、ゾーン1は、クロムレス位相エッジを用いて(すなわちクロムなしで)結像可能なフィーチャ幅を含むように画成される。ゾーン2は、大きすぎてクロムレス技術を用いては結像されないフィーチャ幅(すなわち隣接する位相エッジ)、または、小さすぎてクロムパターンを用いては結像されない(ゾーン2のこれらフィーチャにおいては空中像コントラストが不十分である)フィーチャ幅を含むように画成される。ゾーン3は、クロムパターンを用いて結像可能な大きさのフィーチャ幅を含むように画成される。ここでゾーンの画成は、図4cおよび図5に示されたCPL像の挙動を示した図表から観察される領域と必ずしも同一である必要はないことを注記する。さらに、ゾーンの画成は、露光波長、開口数(NA)、照明設定等のような画像パラメータにかなり影響されることも注記する。以下に説明するように、さまざまなゾーンの決定は、シミュレーション、実験、あるいは技術に基づいたルールのいずれかにより実行可能である。
一旦CDに基づいて3つのゾーンが定義されると、各「ゾーン」に含まれるフィーチャをパターニングするマスクパターンタイプが、3ゾーンのそれぞれに割り当てられる。本実施例においては、ゾーン1(すなわち最小のマスクCD)に関して、このゾーンに含まれる各フィーチャをプリントするために、クロムレス位相シフトマスク(PSM)(もしくはCPLマスク)が使用される。ゾーン2(すなわち中くらいのCD)に関して、次の4つの特定されたマスクパターン方法、すなわち、ゼブラ(Zebra)、スカンク(Skunk)、リム(Rim)、あるいはハーフトーンエッジバイアスのうちの少なくとも1つが使用される。ゾーン3(すなわち大きいCD)に関して、クロムもしくは非位相シフトマスクパターンが使用される。図6は、本発明における、臨界寸法(CD)に基づくゾーン1、2、および3の区分例を示したものである。
ゾーン2におけるCDの線形をコントロールすることが最も重要であることを注記する。ゾーン2に含まれるフィーチャに使用されるマスキング技術は、局所的透過を調整するよう機能し、かつ、0次回折を2ビーム結像システム(クロムレスPSMによる)に導くよう機能する。0次回折は、MEEFをゼロ近くから、正になるよう「増やす」必要がある。
上に述べたように、本発明に従って、ゾーン2に入るCDを有するフィーチャに関して、これらフィーチャは次の4つのマスクパターン、すなわち、ゼブラ(Zebra)、スカンク(Skunk)、リム(Rim)、およびハーフトーンエッジバイアスのうちの1つを使用して像形成される。米国特許番号第6,114,071号の明細書においてハーフトーンエッジバイアスマスクタイプが論じられている。詳細についてはこの文献を参照されたい。
図7a−7cはそれぞれスカンク(Skunk)、リム(Rim)、およびゼブラ(Zebra)マスクの典型的なマスクレイアウトと、その関連する基板トポロジーを示したものである。図7a−7cの各図に示すように、ゾーン2に含まれるフィーチャ70はそれぞれスカンク、リム、およびゼブラマスクパターンを用いてプリントされる。図7aに関して、スカンクマスクパターンは、水晶基板の非位相シフト部分75上に形成しても良い2つの平行になったクロム部分72の間に開口71が配置される方法をとる。言い換えると、ゾーン1で使用可能なクロムレスフィーチャパターン(例えばダブル位相エッジ)が修正され、その上に配置される2つのクロム部分72をさらに含む。図において示すように、クロム部分72はフィーチャのエッジに配置され、開口71によって分離されている。以下に説明するように、これらの追加のクロム部分72は透過比率を効果的にコントロールし、それにより結果の結像性能を改善する。図7bを参照に説明すると、リムマスクパターンは基本的にはスカンクマスクパターンと同様である、ただし、1つだけの追加クロム部分72がフィーチャの中心に配置される。スカンクマスクパターンおよびリムマスクパターンの両方において、フィーチャ70が結像されるとき、追加のクロム部分72が同一軸に沿って伸長する。次にゼブラパターンについて説明を行うと、図7cに示しているように、ゼブラレイアウトは、結像されるクロムレスフィーチャパターン上に形成される複数のクロム部分72(すなわちクロムパッチ)を用いる。詳細を後述するが、前記のマスクパターン各々の性能結果は同様であるが、マスクの製造のしやすさに関してゼブラパターンはかなりの効果をもたらす。ゼブラパターンに使用されるクロム部分72を長方形または四角形にて示しているが、これは例示目的における形状であることをさらに注記する。明確に述べると、クロム部分72が他の形状をとることも可能である。
前記のマスクパターン(例えば、スカンク、リム、およびゼブラ)の各々において、プリントされるクロムレスフィーチャの最上部に配置されるクロム部分72の各々は、クロム部分がサブ分解能であるような寸法であり、それにより、クロム部分72が個々のフィーチャとしてウェハ上に結像されるのを防ぐ。
図8は、一般的なDUV/ArF(193nm)/4x、NA=0.75、アニュラー(0.92,0.72)の条件での、ウェハCD対ピッチにおける、ゼブラレイアウトCDの線形パフォーマンス、プロット82を示している。この図から、プロット86で示されている、単にクロムレス位相技術だけを用いるマスクに対し、本発明によるプロット82ではピッチ間のCD線形パフォーマンスが著しく改善されていることが分かる。図8ではさらにクロム(バイナリマスク)のプロット84をも示している。このように、ゾーン2に入るCDをプリントするために修正されたマスクパターン(例えばゼブラ)を用いることで、結果CDの線形において著しい改善がもたらされる。
図9aはゾーン2に入るCDを有するフィーチャをプリントするための典型的なゼブラパターンを示したものであり、図9bはレチクルのシミュレートされた像の性能を示したものであり、かつ、図9cは結果ウェハのシミュレートされた像の性能を示したものである。図で分かるように、図9aにおいて、四角形のクロムパッチ91がクロムレスラインパターン93上に配置されている。ゼブラ型マスクパターンは、ジグザグ効果または他の不連続効果なく、鮮明な像輪郭を有するよい挙動の空中像を作り出すことが、ウェハ上に作り出された結果の像が示している。本例におけるシミュレーションは、ASML/800KrF、0.80NA、クェーサーを使用して、90nmターゲットCD、260nmピッチにて実行されたことを注記する。
図10は、ウェハCDのゼブラマスクレイアウトにおいて使用されたクロムパッチにおけるサイズエラーの影響を示したものである。詳細に述べると、この表は、計測されたレジストウェハCD(Y軸)対クロムパッチサイズ(左−120nmから右+120nmまで)(X軸)を示したものである。図10に示されているように、クロムパッチサイズが−40nmから+120nm間で変化しても、結果のレジストCDはそれほど変化しない。これは、結像されるクロムレスフィーチャ上のクロムパッチの個々の配置は重要ではないことを示している。本例では、バイアスにおいて160nmだけ変化するとレジストウェハにおける2nmCDエラーとなる。よって、ゼブラマスクレイアウトはマスク製造と結像工程をかなり容易にする。図10の表は、ASML PAS5500/800、0.80NA、0.87/0.57、30度クェーサーを使用して得られたことを注記する。
ゾーン2に入るフィーチャをパターニングするための3つの技術、すなわちゼブラ、スカンク、およびリムの全ては2つのマスク書込みステップを用いる。第一マスク書込みステップは、重要なマスク書込みステップであり、結像されるフィーチャに対応するクロムレス位相シフトパターンの形成を伴う。(すなわち、第一マスク書込みステップでは位相エッジを限定する。)第二マスク書込みステップでは、ゼブラ、スカンク、またはリムパターンのそれぞれを形成するための所望のクロムパッチを画成する必要がある。第二マスク書込みステップを実行する際、スカンクおよびリム技術においては第一書込みステップに対して精巧なオーバレイを要求する。これは、クロム配置のシフトにより空中像の重心が移動して、ライン配置エラーを生じることによる。従い、第二マスク書込みステップにおけるミスアライメントは(例えば、クロム部分がクロムレスフィーチャ上に正しく配置されていない場合)スカンクおよびリム技術のその効果を減じる。しかし、これに対してゼブラ技術はオーバレイエラー(すなわちミスアライメント)にかなり許容範囲をもうける。これは、ゼブラのクロムパッチは「自己整列」するという事実によるものである。よって、ゼブラ技術におけるアライメント問題は最小限となる。
ゼブラの一実施例において、クロムパッチは、プリントされるクロムレスフューチャに対して垂直に伸長するよう、かつ、好ましくは「オーバサイズ」となるよう、最初に形成される。前述の方法により製造工程を単純化する一方、結像性能を最適化するよう、透過比率および透過変調をかなりコントロールすることが可能となる。実際に、透過のコントロールは、プリントされる所定フィーチャ上のクロムパッチ間のピッチを変えることによって容易に達成出来る。これは、クロムフィーチャが、プリントされるクロムレスフィーチャに対して直交して伸長することによって可能である。よって、クロムが基板に被着されるとき、クロムパッチの幅を増すことにより、所定のCPLフィーチャ上のクロムパッチ間のピッチを減じることが可能である。あるいは、被着されるクロムの幅を減じることにより(すなわちフィーチャの縦軸に垂直に伸長する方向において)、所定フィーチャ上のクロムパッチ間のピッチを増す。このように、ゼブラ処理パターンは、アライメント問題を生じることなく、透過比率をコントロールするために容易に調整がなされ得る。
さらには、マスクレイアウトのデータ量に関する問題が考慮されなくてはならない。4タイプのマスク方法を比較すると、ゼブラとハーフトーンエッジバイアスの両方は、パターン形成の特性からマスクデータカウントが高くなるが、スカンクとリムの両方のデータ量は少ない。しかし、ゾーン2の解決法に適要するためには、フルチップパターンの小さな部分のみが必要とされることから、ゼブラとハーフトーンエッジバイアス両方のデータ量が一般的に受け入れられる。
図11aは、描画による性能比較フォームで、スカンク、リム、およびゼブラレイアウトの性能を比較したものであり、図11bは、各レイアウトにおけるドーズ量プロファイルの図表である。図11aおよび図11bに示すように、スカンク、リム、およびゼブラレイアウトにおいて像にそれほど大きな差はない。
図12は本発明の概括的方法を示した典型的フローチャートである。第一のステップ(ステップ120)は、ウェハ上に結像される所望のマスクパターンを得ることである。このようなマスクデータはGDSフォーマットもしくは他の適したデータフォーマットによる。次ステップ(ステップ122)は、ゾーン1、2、および3の分割ポイントを決定することである。本実施例においては、プリントされるフィーチャのCDに基づいてゾーンを画成する。既に注記したように、ゾーン1、2、および3は、ウェハの結像に用いられる所定の一式の処理条件およびツールにより異なる。ゾーンを画成するための一つの方法は、空中像挙動と、フィーチャ幅に応じた所定の処理のMEEFとを判断すべく、所望の条件を用いてシミュレーションを実行することである。その後、例えば図5に関連して上記説明を行ったように、CPLフィーチャの結果の空中像のスロープに基づき、「ゾーン」の識別が容易に出来る。一旦「ゾーン」が認識されると、フィーチャ(すなわちジオメトリ)の各々は、そのCDに基づいて所定のゾーン(すなわちゾーン1、2、または3)に割り当てされる(ステップ124)。次に、ゾーン2のジオメトリのものと特定された各フィーチャは、前述のゾーン2のパターン処理の1つによって処理される(ステップ126)。望ましい実施形態においてはゼブラ(Zebra)パターン処理を用いる。次のステップでは、ゾーン1およびゾーン2に含まれるフィーチャのクロムレス位相成分の各々を含む第一マスクパターンを生成し(ステップ128)、かつ、ゾーン2およびゾーン3に含まれるフィーチャのクロム成分の各々を含む第二マスクパターンを生成する(ステップ130)ステップが取られる。次に、ウェハ上に所望の像を形成するために、第一マスクパターンおよび第二マスクパターンは別々の結像ステップにて結像される。
前述のフローチャートは本発明における方法の概要のみを示したものであることを注記する。前述の方法にステップを付加することも可能であることは当業者にとって明らかである。例えば、マスク設計に他の光学的近接補正(OPC)技術(例えば、散乱バーOPC)を用いることも可能である。散乱バーの場合、これらはクロムフィーチャを含んだ第二マスクパターンに含まれるであろう。当然ながら、追加のOPC技術を本発明の前述の方法に取り込むことも可能である。さらに、例えばオフアクシス照明といったような異なる照明を使用して、結像工程をさらに改善することも可能である。
また、前述の方法は、ゾーン2と、そしてゾーン2に含まれるフューチャを識別し、分類するためのモデルベース方法を用いて実行することも可能であることを注記する。このような方法は、図12に示したような工程を追従する。
例えば、本発明に従って、ゾーン2のモデル分類はサイジングに基づいてなされる。これは、ゾーン3のフィーチャを分けた後に、ゾーン1あるいはゾーン2のフィーチャを認識するために、フィーチャサイズに基づいてマスクフィーチャCDを予測するためのモデルを使用して達成される。あらかじめ決定された数値Xよりも大きいフィーチャはゾーン2のフィーチャであり、Xよりも小さい、もしくはXに等しいフィーチャはゾーン1のフィーチャである。「X」は特定のマスク寸法に当たる。値Xは開口数(NA)および露光に使用される露光波長(WL)から決定出来る。この関係は、例えば、X〜(WL/NA)と表される。小さいWLと大きいNAによりXは小さくなる。しかし、Xの正確な数値は実験に基づいて決定されるべきである。
さらに、ゾーン2のモデル分類はバイアス方向を基になされる。これは、ゾーン3のフィーチャを分けた後に、ゾーン1あるいはゾーン2フィーチャを識別するために、フィーチャバイアスに基づいてマスクフィーチャバイアスを予測するためのモデルを使用して成される。正にバイアスされたフィーチャはゾーン2のフィーチャとなり、ゼロおよび負にバイアスされたフィーチャはゾーン1のフィーチャとなる。
加えて、ゾーン2のモデル分類はMEEFを基に成される。これは、ゾーン3のフィーチャを分けた後に、ゾーン1あるいはゾーン2フィーチャを認識するために、シミュレートされたMEEFに基づいてマスクフィーチャCDを予測するためのモデルを使用して成される。1.5を超える、あるいは0.8未満のMEEFを有するフィーチャはゾーン2のフィーチャとなり、1.5から0.8の間のMEEFを有するフィーチャはゾーン1のフィーチャとなる。
ゾーン2を識別、分類するためにルールベース方法を用いることも可能である。要約すると、ルールベース方法は、(1)シミュレーション、もしくは実験結果に基づいて判断されるラインおよびスペースサイジングに基づいて各領域(すなわちゾーン1、2、および3)を画成にするステップと、(2)フィーチャ幅に基づいて、ゾーン1に含まれるフィーチャ(こうしたフィーチャはクロムなしで形成される)を抽出するステップと、(3)フィーチャ幅に基づいてゾーン2に含まれるフィーチャを抽出し、こうしたフィーチャをゾーン2パターン処理(好ましくはゼブラ(Zebra))を含むために修正するステップと、(4)ゾーン3に含まれるフィーチャを抽出して、クロムを用いてこうしたフィーチャをパターニングするステップと、(5)位相パターン(ゾーン1およびゾーン2のフィーチャ)とクロムパターン(ゾーン2およびゾーン3のフィーチャ)を2つの別々のマスクパターンに分けるステップとから成る。上述したような一般的な方法と同様、ルールベース方法も他の異なるOPC技術を取り込むように修正が可能である。
図13は、ゾーン2を分類するためのCPLレイアウト設計ルールをグラフで示したものである。図13に示されているように、バイナリパターンは所定幅を超えて使用されており、SBはフィーチャ間の所定のスペースを超えて追加されている。さらに、ライン幅の所定の帯域により、設計ルールではAtt−PSMあるいはクロムハーフトーン(HT)を必要とする。そして、この帯域の下に、ルールではクロムレス位相リソグラフィ(CPL)ライン光学的近接補正(OPC)を必要とする。ここに示された設計ルールはまた、Att−PSMあるいはクロムHT帯域までの水平ゾーンにあるCPL1:1デューティレシオパターン、Att−PSMあるいはクロムHT帯域の下の三角形のゾーンにあるCPLトレンチパターン、CPLトレンチパターンの下の段になった三角形ゾーンのCrHTパターン、および、所定のスペースを超える縦長方形ゾーンのSBのIsoパターンを必要とする。
図14は、本発明を用いることによって得られた結像性能の改善例を示したものである。図14を参照に説明すると、90nmあるいはこれ未満の幅のフィーチャはゾーン1にあると見なされた。ここに示しているように、ゾーン1にあるフィーチャは隣接する位相エッジ(すなわちクロムレスフィーチャ)を用いてプリントされる。90nmから130nm間の幅のフィーチャはゾーン2にあると見なされた。ゾーン2のフィーチャはゼブラ(Zebra)パターン処理を含むために修正された。ここで示しているように、クロムパッチを用いて結像性能を改善した。130nmよりも大きい幅のフィーチャはゾーン3にあると見なされ、クロムを使用してプリントされた。ここで示すように、クロムの使用なしではゾーン3のフィーチャはウェハ上に結像されなかった。従い、図14は、設計に含まれるフィーチャ幅が変わるとき、本発明に従ってゾーン2およびゾーン3に用いられているように、どのようにクロムの使用が、CDの線形を維持するためのコントロールに生かされるかを示している。
上記で論じたように、第一マスクおよび第二マスクを生成する本発明の前述の工程は一般に、ASML MaskTools社から販売されているMaskWeaverTMといったようなマスク生成ソフトウェアを利用して、CADシステムを用いて実行されることを注記する。このようなCADシステムおよびマスク設計ソフトウェアは本発明の工程を組み込むべく容易にプログラム可能である。
図15は、本発明によって設計されるマスクとの使用に適したリソグラフィ投影装置を示したものである。この装置は、特別な本実施形態において放射線源LAも備えた、放射線の投影ビームPBを供給する放射線システムEx、ILと、マスクMA(例えばレクチル)を保持するマスクホルダーw備え、かつ、品目PLに対して正確にマスクの位置決めを行う第一位置決め手段に連結を行った第一オブジェクト・テーブル(マスクテーブル)MTと、基板W(例えば、レジスト塗布シリコンウェハ)を保持する基板ホルダを備え、かつ、品目PLに対して正確に基板の位置決めを行う第二位置決め手段に連結を行った第二オブジェクト・テーブル(基板テーブル)WTと、マスクMAの照射部分を、基板Wの目標部分C(例えば、1つあるいはそれ以上のダイから成る)に像形成する投影システム(「レンズ」)PL(例えば、屈折システム、反射光学反射屈折光学システム)とにより構成されている。
ここで示しているように、この装置は透過タイプ(すなわち透過マスクを有する)である。しかし、一般的には、例えば反射マスクを有する反射タイプのものも可能である。あるいは、本装置ではマスクを使用するかわりに、例えばプログラマブルミラーアレイもしくはLCDマトリクスといったような、他の種類のパターニング手段を使用することも可能である。
ソースLA(例えば、水銀ランプ、エキシマレーザー、あるいはプラズマ放電ソース)は放射線のビームを作り出す。このビームは、直接的に、あるいは、例えばビームエキスパンダーExといったようなコンディショニング手段を横断した後に、照明システム(照明装置)ILに供給される。照明装置ILは、ビームにおける強度分布の外部かつ/あるいは内部放射範囲(一般的にそれぞれ、σ−outerおよびσ−innerに相当する)を設定する調整手段AMから成る。さらに、照明装置ILは一般的に積分器INおよびコンデンサCOといったような、他のさまざまな構成要素を備える。このようにして、マスクMAに照射するビームPBは、その断面に亘り所望する均一性と強度分布とを有する。
図15を参照に説明すると、ソースLAはリソグラフィ装置のハウジング内にある(これは例えばソースが水銀ランプである場合に多い)が、しかし、リソグラフィ投影装置から離して配置することも可能であることを注記する。この場合、ソースLAが作り出す放射線ビームは(適した誘導ミラーにより)装置内に導かれる。この後者のシナリオでは、ソースLAがエキシマレーザー(KrF、ArF、あるいはFレージングに基づく)である場合が多い。本発明および請求項はこれら両方のシナリオを網羅するものである。
続いてビームPBはマスクテーブルMT上に保持されているマスクMAに入射する。ビームPBはマスクMAを横断して基板Wの目標部分C上にビームPBの焦点を合わせるレンズPLを通過する。第二位置決め手段(および干渉計測手段IF)により、基板テーブルWTは、例えばビームPBの経路における異なる目標部分Cに位置を合わせるために正確に運動可能である。同様に、第一位置決め手段は、例えばマスクライブラリからマスクMAを機械的に検索した後に、あるいは走査運動の間に、ビームPBの経路に対してマスクMAを正確に位置決めするように使用可能である。一般的に、オブジェクト・テーブルMTおよびオブジェクト・テーブルWTの運動はロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)にて行われる。これについては図1に明示を行っていない。しかし、ウェハステッパの場合(ステップアンドスキャンツールとは対照的に)、マスクテーブルMTはショートストロークアクチュエータに連結されるだけであるか、あるいは固定される。
ここに表したツールは2つの異なるモードにて使用可能である。
− ステップモードにおいて、マスクテーブルMTは基本的に静止状態に保たれている。そして、マスクの像全体が1回の作動(すなわち1回の「フラッシュ」)で目標部分Cに投影される。次に基板テーブルWTがx方向および/あるいはy方向にシフトされ、異なる目標部分CがビームPBにより照射され得る。
− スキャンモードにおいて、基本的に同一シナリオが適用されるが、但し、ここでは、所定の目標部分Cは1回の「フラッシュ」では露光されない。代わって、マスクテーブルMTが、速度vにて所定方向(いわゆる「走査方向」、例えばy方向)に運動可能であり、それによってビームPBがマスクの像を走査する。これと同時に、基板テーブルWTが速度V=Mvで、同一方向あるいは反対方向に運動する。ここで、MはレンズPLの倍率(一般的にM=1/4あるいは1/5)である。このように、解像度を妥協することなく、比較的大きな目標部分Cを露光することが可能となる。
上述したように、本発明の方法は従来技術に重大な効果をもたらす。例えば、本発明は、所定のマスクパターンに含まれるフィーチャのCDとピッチが変わるとき、CDのプリンティングに関して、「線形」挙動を形成し、そして維持するための簡単かつ系統的なアプローチを可能にする。
本発明の特定の詳細な実施形態を開示してきたが、本発明は、その趣旨と基本的特質から逸脱することなく他の形態においても具体化が可能であることを注記する。ゆえに、本発明は、ここに図説を行ったようなあらゆる観点において考察されるべきであり、かつ、これに限定されるべきではない。本発明の範囲は請求項に提示されており、その請求項に示された内容と同等の意味および範囲におけるいかなる変更もこの請求項の範囲に包括されることを意図する。
従来のマスクにおける散乱バーの効果を示した典型的な図表である。 従来のマスクにおける散乱バーの効果を示した典型的な図表である。 従来のマスクにおける散乱バーの効果を示した典型的な図表である。 結像されるフィーチャの臨界寸法(CD)の幅が変わるときの、クロムレス位相フィーチャ(CPL)の典型的な空中像挙動を図示したものである。 CPLフィーチャの空中像挙動の3区分ゾーンへの分割を図示したものである。 本発明に従う、ゾーン1、ゾーン2、およびゾーン3の定義例を図示したものである。 本発明に従う、スカンク(Skunk)、リム(Rim)、およびゼブラ(Zebra)マスクレイアウトとその対応するマスク基板トポロジーの典型的な具体例を図示したものである。 ゼブラCD線形性能の例示的プロット曲線を示す図である。 ゼブラマスクのレイアウトパターンの実施例および相当する像性能を示す図である。 ウェファCD上でのゼブラバイアスエラの最小の効果を示す図である。 スカンク、リムおよびゼブラマスクレイアウトの例示的性能比較を示す図である。 本発明の一般的方法を示す例示的フロウチャートを示す図である。 本発明のゾーン2の分類に用いられる例示的CPLレイアウトデザインルールを示す図である。 本発明を用いて得られた像性能の改良例を示す図である。 本発明に基づき設計されたマスクを用いるに好的のリソグラフィ投影装置を概略的に示す図である。

Claims (18)

  1. 臨界寸法が変わる複数のフィーチャを含むパターンをプリントするためのマスクを生成する方法において、該方法はパターンを示すデータを取得するステップと、前記の複数のフィーチャの臨界寸法に基づいて複数のゾーン区分を定義するステップと、前記の区分された複数ゾーンの1つに前記のフィーチャ各々を分類するステップと、前記の複数の区分ゾーンにおける予め定義された区分ゾーンに分類がなされたフィーチャごとに、前記のマスクパターンを修正するステップとから成ることを特徴とするマスクを生成する方法。
  2. 前記の複数の区分ゾーンは、第一規定量よりも少ないかまたはそれに等しい臨界寸法を有するフィーチャがクロムレス位相リソグラフィ技術を用いて結像され得る第一ゾーンと、第一規定量よりも大きく、第二規定量よりも少ない臨界寸法を有するフィーチャがクロムレス位相リソグラフィ技術とクロムとの組み合わせによって結像され得る第二ゾーンと、前記の第二規定量よりも大きい臨界寸法を有するフィーチャがクロムの使用によって結像され得る第三ゾーンとから成ることを特徴とする請求項1に記載のマスクを生成する方法。
  3. 前記の第一ゾーンにおける前記フィーチャの少なくとも1つは、前記ウェハにエッチングされた隣接する位相エッジとして前記マスクに提供されることを特徴とする請求項2に記載のマスクを生成する方法。
  4. 前記の第二ゾーンにおける前記フィーチャの少なくとも1つは、前記の隣接する位相エッジ間に残る基板の上面に配置されたクロムパッチにより、前記ウェハにエッチングされた隣接する位相エッジとして該基板に提供されることを特徴とする請求項2に記載のマスクを生成する方法。
  5. 前記のクロムパッチは、前記マスク上への光源入射の透過比率を制御するように作用することを特徴とする請求項4に記載のマスクを生成する方法。
  6. さらに、前記第一ゾーンに含まれるフィーチャと、前記第二ゾーンに含まれるフィーチャのクロムレス位相成分とを編集するステップと、前記第一ゾーンと前記第二ゾーンに含まれるクロムレス位相成分を結像するための第一マスクを生成するステップと、前記第二ゾーンに含まれるフィーチャのクロム成分と、前記第三領域に含まれるフィーチャのクロム成分とを編集するステップと、前記第二ゾーンと前記第三ゾーンに含まれるクロム成分を結像するための第二マスクを生成するステップとを有することを特徴とする請求項2に記載のマスクを生成する方法。
  7. 臨界寸法が変わる複数のフィーチャを含むパターンをプリントするためのマスクを生成する装置において、該装置はパターンを示すデータを取得する手段と、前記の複数のフィーチャの臨界寸法に基づいて複数のゾーン区分を画成する手段と、前記の区分された複数ゾーンの1つに前記のフィーチャ各々を分類する手段と、前記の複数の区分ゾーンにおける予め定義された区分ゾーンに分類がなされたフィーチャごとに、前記のマスクパターンを修正する手段とから成ることを特徴とするマスクを生成する装置。
  8. 前記の複数の区分ゾーンは、第一規定量よりも少ないかまたはそれに等しい臨界寸法を有するフィーチャがクロムレス位相リソグラフィ技術を用いて結像され得る第一ゾーンと、第一規定量よりも大きく、第二規定量よりも少ない臨界寸法を有するフィーチャがクロムレス位相リソグラフィ技術とクロムとの組み合わせによって結像され得る第二ゾーンと、前記の第二規定量よりも大きい臨界寸法を有するフィーチャがクロムの使用によって結像され得る第三ゾーンとから成ることを特徴とする請求項7に記載のマスクを生成する装置。
  9. 前記の第一ゾーンにおける前記フィーチャの少なくとも1つは、前記ウェハにエッチングされた隣接する位相エッジとして前記マスクに提供されることを特徴とする請求項8に記載のマスクを生成する装置。
  10. 前記の第二ゾーンにおける前記フィーチャの少なくとも1つは、前記の隣接する位相エッジ間に残る基板の上面に配置されたクロムパッチにより、前記基板にエッチングされた隣接する位相エッジとして該基板に提供されることを特徴とする請求項8に記載のマスクを生成する装置。
  11. 前記のクロムパッチは、前記マスク上への光源入射の透過比率を制御するように作用することを特徴とする請求項10に記載のマスクを生成する装置。
  12. さらに、前記第一ゾーンに含まれるフィーチャと前記第二ゾーンに含まれるフィーチャのクロムレス位相成分とを編集する手段と、前記第一ゾーンと前記第二ゾーンに含まれるクロムレス位相成分を結像するための第一マスクを生成する手段と、前記第二ゾーンに含まれるフィーチャのクロム成分と、前記第三領域に含まれるフィーチャのクロム成分とを編集する手段と、前記第二ゾーンと前記第三ゾーンに含まれるクロム成分を結像するための第二マスクを生成する手段とを備えていることを特徴とする請求項8に記載のマスクを生成する装置。
  13. コンピュータにより読取り可能な記録媒体と、臨界寸法が変わる複数のフィーチャから成るパターンをプリントするためのマスクに対応したファイルを生成するようにコンピュータに命令するための、記録媒体に記録された手段とから成るコンピュータを制御するコンピュータプログラムプロダクトにおいて、該ファイルの生成は、パターンを示すデータを取得するステップと、前記の複数のフィーチャの臨界寸法に基づいて複数のゾーン区分を画成するステップと、前記の区分された複数ゾーンの1つに前記のフィーチャ各々を分類するステップと、前記の複数の区分ゾーンにおける予め画成された区分ゾーンに分類がなされたフィーチャごとで、前記のマスクパターンを修正するステップとから成ることを特徴とするコンピュータプログラムプロダクト。
  14. 前記の複数の区分ゾーンは、第一規定量よりも少ないかまたはそれに等しい臨界寸法を有するフィーチャがクロムレス位相リソグラフィ技術を用いて結像され得る第一ゾーンと、第一規定量よりも大きく、第二規定量よりも少ない臨界寸法を有するフィーチャがクロムレス位相リソグラフィ技術とクロムとの組み合わせによって結像され得る第二ゾーンと、前記の第二規定量よりも大きい臨界寸法を有するフィーチャがクロムの使用によって結像され得る第三ゾーンとから成ることを特徴とする請求項13に記載のコンピュータプログラムプロダクト。
  15. 前記の第一ゾーンにおける前記フィーチャの少なくとも1つは、前記ウェハにエッチングされた隣接する位相エッジとして前記マスクに提供されることを特徴とする請求項14に記載のコンピュータプログラムプロダクト。
  16. 前記の第二ゾーンにおける前記フィーチャの少なくとも1つは、前記の隣接する位相エッジ間に残る基板の上面に配置されたクロムパッチにより、前記基板にエッチングされた隣接する位相エッジとして該基板に提供されることを特徴とする請求項14に記載のコンピュータプログラムプロダクト。
  17. 前記のクロムパッチは、前記マスク上への光源入射の透過比率を制御するように作用することを特徴とする請求項16に記載のコンピュータプログラムプロダクト。
  18. 前記のファイルの生成はさらに、前記第一ゾーンに含まれるフィーチャと、前記第二ゾーンに含まれるフィーチャのクロムレス位相成分とを編集するステップと、前記第一ゾーンと前記第二ゾーンに含まれるクロムレス位相成分を結像するための第一マスクを生成するステップと、前記第二ゾーンに含まれるフィーチャのクロム成分と、前記第三領域に含まれるフィーチャのクロム成分とを編集するステップと、前記第二ゾーンと前記第三ゾーンに含まれるクロム成分を結像するための第二マスクを生成するステップとを有することを特徴とする請求項14に記載のコンピュータプログラムプロダクト。
JP2003359977A 2002-09-11 2003-09-11 フルチップcpl製造におけるcd線形コントロールの方法 Expired - Fee Related JP4323919B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US40959902P 2002-09-11 2002-09-11

Publications (2)

Publication Number Publication Date
JP2004151717A true JP2004151717A (ja) 2004-05-27
JP4323919B2 JP4323919B2 (ja) 2009-09-02

Family

ID=32507585

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003359977A Expired - Fee Related JP4323919B2 (ja) 2002-09-11 2003-09-11 フルチップcpl製造におけるcd線形コントロールの方法

Country Status (7)

Country Link
US (2) US7211815B2 (ja)
EP (1) EP1398666A3 (ja)
JP (1) JP4323919B2 (ja)
KR (1) KR100566143B1 (ja)
CN (1) CN100589030C (ja)
SG (1) SG118203A1 (ja)
TW (1) TWI274969B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006058882A (ja) * 2004-08-17 2006-03-02 Asml Netherlands Bv マスク・パターンを生成するためのリソグラフィ装置、方法、及びコンピュータ・プログラマ製品並びにそれらを使用するデバイス製造方法
JP2006220837A (ja) * 2005-02-09 2006-08-24 Sony Corp フォトマスクの製造方法、および、半導体デバイスの製造方法
JP2007052430A (ja) * 2005-08-12 2007-03-01 Asml Masktools Bv 改良型cplマスクおよびこれを作製するための方法およびプログラム
KR100733123B1 (ko) * 2004-07-26 2007-06-27 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
JP2008015534A (ja) * 2006-07-06 2008-01-24 Asml Masktools Bv 改良型cplマスクおよびそれを生成する方法およびプログラム製品
JP2013190786A (ja) * 2012-02-15 2013-09-26 Dainippon Printing Co Ltd 位相シフトマスク及び当該位相シフトマスクを用いたレジストパターン形成方法

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US7681171B2 (en) * 2005-04-12 2010-03-16 Asml Masktooks B.V. Method, program product and apparatus for performing double exposure lithography
US20060234137A1 (en) * 2005-04-15 2006-10-19 Samsung Electronics Co., Ltd. Photomask structures providing improved photolithographic process windows and methods of manufacturing same
US7642019B2 (en) * 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US20070015089A1 (en) * 2005-07-14 2007-01-18 Freescale Semiconductor, Inc. Method of making a semiconductor device using a dual-tone phase shift mask
US7732102B2 (en) * 2005-07-14 2010-06-08 Freescale Semiconductor, Inc. Cr-capped chromeless phase lithography
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US20070243491A1 (en) * 2006-04-18 2007-10-18 Wu Wei E Method of making a semiconductor with a high transmission CVD silicon nitride phase shift mask
CN101086623B (zh) * 2006-06-08 2011-06-08 中芯国际集成电路制造(上海)有限公司 使基于模型的光学近似修正更精确的方法
KR100801738B1 (ko) * 2006-06-28 2008-02-11 주식회사 하이닉스반도체 포토마스크 및 그 형성방법
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
SG192532A1 (en) 2008-07-16 2013-08-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
CN103777456A (zh) * 2011-12-31 2014-05-07 聚灿光电科技(苏州)有限公司 平板式光刻板
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
KR102154075B1 (ko) 2013-10-21 2020-09-09 삼성전자주식회사 반도체 소자의 검사 방법 및 반도체 검사 시스템
KR102230503B1 (ko) * 2015-04-14 2021-03-22 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 마스크 패턴 제조 시스템 및 방법

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5184021A (en) * 1991-06-24 1993-02-02 Siscan Systems, Inc. Method and apparatus for measuring the dimensions of patterned features on a lithographic photomask
US5633102A (en) * 1991-08-23 1997-05-27 Intel Corporation Lithography using a new phase-shifting reticle
US5354632A (en) * 1992-04-15 1994-10-11 Intel Corporation Lithography using a phase-shifting reticle with reduced transmittance
US5302477A (en) * 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5348826A (en) * 1992-08-21 1994-09-20 Intel Corporation Reticle with structurally identical inverted phase-shifted features
US5424154A (en) * 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5565286A (en) * 1994-11-17 1996-10-15 International Business Machines Corporation Combined attenuated-alternating phase shifting mask structure and fabrication methods therefor
JPH08191043A (ja) * 1995-01-11 1996-07-23 Nikon Corp アライメント方法及び該方法で使用される露光装置
US5618643A (en) * 1995-12-15 1997-04-08 Intel Corporation Embedded phase shifting mask with improved relative attenuated film transmission
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5935733A (en) * 1996-04-05 1999-08-10 Intel Corporation Photolithography mask and method of fabrication
US5840448A (en) * 1996-12-31 1998-11-24 Intel Corporation Phase shifting mask having a phase shift that minimizes critical dimension sensitivity to manufacturing and process variance
US6114071A (en) * 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
US6312854B1 (en) * 1998-03-17 2001-11-06 Asml Masktools Netherlands B.V. Method of patterning sub-0.25 lambda line features with high transmission, “attenuated” phase shift masks
US6383719B1 (en) * 1998-05-19 2002-05-07 International Business Machines Corporation Process for enhanced lithographic imaging
US6383697B1 (en) * 1999-08-31 2002-05-07 National University Of Singapore Ultra high resolution lithographic imaging and printing and defect reduction by exposure near the critical condition utilizing fresnel diffraction
US6562522B1 (en) 1999-10-29 2003-05-13 Intel Corporation Photomasking
DE10001119A1 (de) * 2000-01-13 2001-07-26 Infineon Technologies Ag Phasenmaske
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US6458495B1 (en) * 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6551750B2 (en) * 2001-03-16 2003-04-22 Numerical Technologies, Inc. Self-aligned fabrication technique for tri-tone attenuated phase-shifting masks
US6664009B2 (en) * 2001-07-27 2003-12-16 Numerical Technologies, Inc. Method and apparatus for allowing phase conflicts in phase shifting mask and chromeless phase edges
US6641959B2 (en) * 2001-08-09 2003-11-04 Intel Corporation Absorberless phase-shifting mask for EUV
US6548417B2 (en) * 2001-09-19 2003-04-15 Intel Corporation In-situ balancing for phase-shifting mask
US6564522B1 (en) * 2001-11-20 2003-05-20 Lee Chiu-Ying Hidden dual loading spring-type floor board fastening mount structure
US6605481B1 (en) * 2002-03-08 2003-08-12 Numerical Technologies, Inc. Facilitating an adjustable level of phase shifting during an optical lithography process for manufacturing an integrated circuit
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100733123B1 (ko) * 2004-07-26 2007-06-27 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
JP2006058882A (ja) * 2004-08-17 2006-03-02 Asml Netherlands Bv マスク・パターンを生成するためのリソグラフィ装置、方法、及びコンピュータ・プログラマ製品並びにそれらを使用するデバイス製造方法
JP2011095755A (ja) * 2004-08-17 2011-05-12 Asml Netherlands Bv マスク・パターンを生成するためのリソグラフィ装置、方法、及びコンピュータ・プログラマ製品並びにそれらを使用するデバイス製造方法
JP2006220837A (ja) * 2005-02-09 2006-08-24 Sony Corp フォトマスクの製造方法、および、半導体デバイスの製造方法
JP2007052430A (ja) * 2005-08-12 2007-03-01 Asml Masktools Bv 改良型cplマスクおよびこれを作製するための方法およびプログラム
JP4580912B2 (ja) * 2005-08-12 2010-11-17 エーエスエムエル マスクツールズ ビー.ブイ. 改良型マスク、改良型マスクを作製するための方法およびプログラム
JP2008015534A (ja) * 2006-07-06 2008-01-24 Asml Masktools Bv 改良型cplマスクおよびそれを生成する方法およびプログラム製品
JP4607151B2 (ja) * 2006-07-06 2011-01-05 エーエスエムエル マスクツールズ ビー.ブイ. 改良型cplマスクおよびそれを生成する方法およびプログラム製品
US7998355B2 (en) 2006-07-06 2011-08-16 Asml Masktools B.V. CPL mask and a method and program product for generating the same
JP2013190786A (ja) * 2012-02-15 2013-09-26 Dainippon Printing Co Ltd 位相シフトマスク及び当該位相シフトマスクを用いたレジストパターン形成方法

Also Published As

Publication number Publication date
US7667216B2 (en) 2010-02-23
EP1398666A2 (en) 2004-03-17
KR20040023784A (ko) 2004-03-18
SG118203A1 (en) 2006-01-27
TW200422795A (en) 2004-11-01
US20040115539A1 (en) 2004-06-17
US7211815B2 (en) 2007-05-01
CN100589030C (zh) 2010-02-10
CN1495521A (zh) 2004-05-12
US20070148562A1 (en) 2007-06-28
TWI274969B (en) 2007-03-01
KR100566143B1 (ko) 2006-03-30
JP4323919B2 (ja) 2009-09-02
EP1398666A3 (en) 2007-07-18

Similar Documents

Publication Publication Date Title
JP4323919B2 (ja) フルチップcpl製造におけるcd線形コントロールの方法
US6553562B2 (en) Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US7549140B2 (en) Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
US7666554B2 (en) Method and apparatus for performing model-based layout conversion for use with dipole illumination
KR100583697B1 (ko) 자동 광근접성보정(opc) 규칙 생성방법
US7399559B2 (en) Optical proximity correction method utilizing phase-edges as sub-resolution assist features
KR100566153B1 (ko) 다이폴 조명을 활용하여 규칙기반 게이트 슈링크를수행하는 방법 및 장치
JP4495663B2 (ja) サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
KR100563776B1 (ko) 공간 주파수 2배가 기술을 활용하여 마스크패턴을형성하는 방법 및 장치
US7614034B2 (en) Method and apparatus for generating OPC rules for placement of scattering bar features utilizing interface mapping technology

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040618

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090521

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090605

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130612

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees