KR100566143B1 - 풀칩 cpl 제조를 위한 cd 선형제어를 달성하는 방법 - Google Patents

풀칩 cpl 제조를 위한 cd 선형제어를 달성하는 방법 Download PDF

Info

Publication number
KR100566143B1
KR100566143B1 KR1020030064616A KR20030064616A KR100566143B1 KR 100566143 B1 KR100566143 B1 KR 100566143B1 KR 1020030064616 A KR1020030064616 A KR 1020030064616A KR 20030064616 A KR20030064616 A KR 20030064616A KR 100566143 B1 KR100566143 B1 KR 100566143B1
Authority
KR
South Korea
Prior art keywords
zone
mask
features
chromium
pattern
Prior art date
Application number
KR1020030064616A
Other languages
English (en)
Other versions
KR20040023784A (ko
Inventor
판덴브뢰크더글라스
흐스충웨이
첸장풍
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20040023784A publication Critical patent/KR20040023784A/ko
Application granted granted Critical
Publication of KR100566143B1 publication Critical patent/KR100566143B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은, 변화하는 임계치수를 갖는 복수의 피처를 포함하는 소정 패턴을 프린팅하는 마스크를 생성시키는 방법에 관한 것이다. 상기 방법은; (1) 상기 패턴을 나타내는 데이터를 습득하는 단계; (2) 복수의 피처의 임계치수를 기초로 하여 복수의 구별 구역을 정의하는 단계; (3) 복수의 구별 구역 중 하나로 각각의 피처를 분류시키는 단계; 및 (4) 복수의 구별 구역 중 사전정의된 구별 구역내로 분류된 각각의 피처에 대한 마스크 패턴을 수정하는 단계를 포함한다.

Description

풀칩 CPL 제조를 위한 CD 선형제어를 달성하는 방법{Method of Achieving CD Linearity Control for Full Chip CPL Manufacturing}
도 1 내지 도 3은 종래 마스크용 스캐터링 바의 영향을 예시하는 예시적인 플롯을 도시하는 도면;
도 4a 내지 도 4c는 묘화되는 피처의 CD의 폭이 변화함에 따라 무크롬 상 피처(CPL)의 예시적인 에어리얼 이미지 거동을 예시하는 도면;
도 5는 3개의 구별 영역안으로 CPL 피처의 에어리얼 이미지 거동의 간격을 예시하는 도면;
도 6은 본 발명에 따른 구역 1, 2, 3의 명확성의 일례를 예시하는 도면;
도 7a 및 도 7c는 Skunk, Rim, Zebra 마스크 레이아웃 및 본 발명에 따른 대응하는 마스크 기판 토폴로지(topology)의 예시적인 실시예를 예시하는 도면;
도 8은 Zebra CD 선형 특성의 예시적인 플롯을 예시하는 도면;
도 9a 내지 도 9c는 Zebra 마스크 레이아웃 패턴 및 대응 이미지 성능의 예시적인 실시예를 도시하는 도면;
도 10은 웨이퍼 CD상의 Zebra 바이어싱 에러의 최소한의 영향을 예시하는 도면;
도 11은 Skunk, Rim, Zebra 마스크 레이아웃의 예시적인 성능비교를 예시하 는 도면;
도 12는 본 발명의 일반적인 방법을 예시하는 예시적인 플로우차트를 설명하는 도면;
도 13은 본 발명에 따른 구역 2를 분류하는데 사용되는 예시적인 CPL 레이아웃 디자인 룰을 예시하는 도면;
도 14는 본 발명을 활용함으로써 획득되는 이미징 성능의 개선의 일례를 예시하는 도면;
도 15는 본 발명을 이용하여 설계된 마스크를 사용하기에 적합한 리소그래피 투영장치를 개략적으로 예시하는 도면이다.
본 명세서는 2002년 9월 11일에 출원된 미국 가출원특허 일련번호 제60/409,599호에 대하여 U.S.C. §119를 기초로 한 우선권을 주장한 것으로, 본 명세서의 전문에 인용참조되고 있다.
본 발명은 무크롬 상 리소그래피(chromeless phase lithography; CPL) 기술과 함께 사용되는 마스크패턴의 생성에 관한 것으로, 더욱 상세하게는, CPL을 활용하는 경우에 묘화될 CD의 범위 전반에 걸쳐 임계치수(CD)의 선형을 제어하고 유지하는 방법 및 기술에 관한 것이다.
예를 들어, 리소그래피 투영장치는 집적회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 상기 패터닝수단은 IC의 개별층에 해당하는 회로패턴을 생성할 수 있으며, 상기 패턴은 한 층의 방사선감응재(레지스트)로 코팅된 기판(실리콘웨이퍼)상의 타겟부(예를 들면, 1이상의 다이로 구성되는)에 묘화될 수 있다. 일반적으로, 한장의 웨이퍼에는 인접하여 있는 여러 개의 타겟부로 구성된 전체적인 네트워크를 포함하며, 이들 타겟부는 투영시스템을 통하여 한번에 하나씩 연속적으로 조사된다. 한 가지 형태의 리소그래피 투영장치에서는 타겟부상에 전체 마스크패턴을 한번에 노광함으로써 각 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라고 한다. 통상, 스텝-앤드-스캔 장치(step-and-scan apparatus)라고 불리워지는 대체장치에서는 투영빔하에서 소정의 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하는 한편, 이 방향과 평행으로 또는 역평행으로 기판을 동기화시켜 스캐닝함으로써 각 타겟부가 조사된다. 일반적으로 투영시스템은 배율팩터 M(일반적으로 〈1)을 가지므로 기판테이블이 스캐닝되는 속도 V는 마스크테이블이 스캐닝되는 속도의 팩터 M배가 된다. 여기에 서술된 리소그래피장치와 관련된 보다 많은 정보는 예를 들어, US 6,046,792호로부터 얻을 수 있으며 본 명세서에서도 참조자료로 채용된다.
리소그래피 투영장치를 사용하는 제조공정에서, 마스크패턴은 방사선감응재(레지스트)의 층이 최소한의 부분에라도 도포된 기판상에 묘화된다. 이 묘화단계에 앞서, 기판은 전처리(priming), 레지스트도포 및 소프트 베이크와 같은 여러가지 과정을 거칠 수 있다. 노광 후에는, 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피쳐의 측정/검사와 같은 또 다른 과정을 거치게 된다. 이러한 일련의 과정 은, 예를 들어 IC 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음 이렇게 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은, 모두가 개별층을 마무르도록 하는 여러 공정을 거친다. 여러 개의 층이 요구된다면, 새로운 층마다 전체공정 또는 그것의 변형된 공정이 반복되어져야만 할 것이다. 그 결과로, 기판(웨이퍼)상에는 디바이스의 배열이 존재하게 될 것이다. 이들 디바이스는 다이싱 또는 소잉 등의 기술에 의하여 서로 분리되고, 이들 각각의 디바이스는 캐리어에 장착되고 핀 등에 접속될 수 있다. 이와 같은 공정에 관한 추가 정보는, 예를 들어, 본 명세서에서 참조자료로 채용되고 있는 "Microchip Fabrication: A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill출판사, 1997년, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
상기 리소그래피 툴은 2이상의 기판테이블(및/또는 2 이상의 마스크테이블)을 구비하는 형태가 될 수도 있다. 이러한 "다수 스테이지" 장치에서는 추가 테이블이 병행으로 사용될 수 있으며, 1이상의 테이블이 노광에서 사용되고 있는 동안 1이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 예를 들어 US 5,969,441호 및 WO 98/40791호에는 트윈스테이지 리소그래피툴이 개시되어 있으며, 본 명세서에서도 인용참조되고 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적될 회로 구성요소에 대응하는 기하학적 패턴을 포함한다. 이러한 마스크를 만드는데 사용되는 패턴들은 CAD(computer-aided design) 프로그램을 활용하여 생성되고, 이 과정은 종종 EDA(electronic design automation)로 언급되고 있기도 하다. 대부분 CAD 프로그램은 목적에 알맞는 마스크를 만들기 위하여 한 세트의 소정의 디자인 규칙을 따른다. 이들 규칙은 처리 및 디자인 제한사항에 의하여 설정된다. 예를 들어, 디자인 규칙은 (게이트, 캐패시터 등과 같은) 회로 디바이스들간 또는 배선 라인들간의 공간 허용오차를 규정하여, 회로 디바이스 또는 라인들이 바람직하지 않은 방식으로 서로 작용하지 않도록 보장한다.
물론, 집적회로 제조에 있어서의 목표 가운데 하나는 웨이퍼상의 원래의 회로 디자인을 충실하게 재현하는 것이다(마스크를 통하여). 또 다른 목표는 반도체 웨이퍼 실면적(real estate)을 가능한 한 넓게 사용하는 것이다. 집적회로의 크기가 감소됨에 따라 그 밀도는 커지지만, 그 대응하는 마스크패턴의 CD는 광학 노광툴의 해상도 제한에 접근한다. 노광툴용 해상도는 상기 노광툴이 반복적으로 웨이퍼상에서 노광될 수 있는 최소 피처로서 정의된다. 현재의 노광설비의 해상도값은 종종 진보된 IC의 많은 회로 디자인에 있어서 CD에 제약을 가한다.
또한, 마이크로프로세서의 속도, 메모리패킹밀도, 및 마이크로-전자 구성요소의 낮은 전력소비에 있어서 끊임없는 개선은 반도체 디바이스의 다양한 층들상에 패턴을 전사하고 형성하기 위한 리소그래피기술의 능력에 직접 관련된다. 현재의 기술상태는 수용가능한 광원파장 이하의 CD 웰의 패터닝을 요구한다. 248nm의 현재 생산파장은 100nm보다 작은 CD 웰의 패터닝을 향하여 낮아지고 있다. 이러한 산업 동향은 ITRS 2000(International Technology Roadmap for Semiconductors)에 기술된 바와 같이 향후 5 내지 10년간 계속될 것이며 가속될 수 있을 것이다.
현재에는, 포토리소그래피 커뮤니티(community)로부터 추가적인 감쇠를 수용하며, 포토리소그래피장비의 해상도/프린팅 능력을 더욱 개선하는 한가지 기술을 무크롬 상 리소그래피"CPL"라 칭한다. 공지된 바와 같이, CPL기술을 이용할 경우, 결과로 형성된 마스크패턴은 통상적으로 크롬을 사용할 필요가 없는 (웨이퍼상에 프린트될 피처에 대응하는) 구조체 및 크롬을 사용하는 구조체를 포함한다. 이러한 위상시프트마스크는 USP Nos. 6,312,854호 및 6,335,130호에 개시되어 있으며, 둘 다 본 명세서에서 인용참조되고 있다.
마스크 디자이너들은, 다양한 기술을 이용하는 마스크구조체들이 모두 웨이퍼상에 바람직한 패턴이 프린트되도록 수용가능한 방식으로 작용한다는 것을 입증해야 할 필요가 있다. 또한, 프린트될 피처들의 CD가 달라지기 때문에, CD를 정확히 복사하는 것이 매우 바람직하다. 즉, 제조공정을 용이하게 하고, 만족할만한 결과를 얻기 위해서 상이한 세팅을 이용한 다수의 조명의 필요성을 줄이기 위해서, 다양한 폭(즉, CD 선형이 선형이 되어야만 함)의 CD를 프린팅하는 경우 "선형" 거동(behavior)이 있는 것이 매우 바람직하다.
CD 선형을 달성하기 위해 몇가지 해결책이 현재 공지되어 있다. 이들 해결책은 CPL 하프토닝(halftoning), 및 OPC용 스캐터링바의 사용을 포함한다.
흔히, 스캐터링바(SB) OPC는 메인 피처 에지 근처에 SB를 배치시킴으로써 "국부적 투과"를 변화시키는 것을 포함한다. SB는 에어리얼 이미지 슬로프(slope)를 변조할 수 있다. 도 1 내지 도 3은 일반적인 DUV/ArF(193nm)/4x 및 NA=0.75, QUASAR(0.87,0.57)를 이용하여, 에어리얼 이미징 변조에 대한 SB 영향, SB 크기 영 향 및 SB 배치영향을 예시한다. 도 1은 SB가 없는 경우, 50nm 중심 SB, 및 50nm 더블 SB인 경우를 설명하는 도즈 프로파일을 예시한다. 도 2는 SB(dot)가 없는 경우, 20nm SB(원), 30nm SB("+" 부호), 40nm SB("x"), 및 50nm SB(박스)인 경우, CD의 플롯 대 80nm 간격으로 크롬 스케터링 바(Cr SB)를 가진 25mJ - .24 스레시홀드 무크롬 마스크(CLM)를 예시한다. 도 2는 보다 큰 SB 크기가 높은 피처 CD를 발생시키는 것을 나타낸다. 예를 들어, 20nm SB인 경우, 메인 피처 CD는 550nm(X-축선)의 피치에 대하여 95nm이고, 50nm SB인 경우, 메인 피처 CD는 550nm의 피치에 대하여 125nm이다.
도 3은 SB(dot)가 없는 경우, 70nm 간격(원), 80nm SB 간격("+" 부호), 90nm SB 간격("x"), 및 100nm SB 간격(박스), 110nm SB 간격(중실(solid) 박스), 120nm SB 간격(삼각형), 130nm SB 간격(화이트상의 원), 140nm SB 간격(바), 150nm SB 간격(블랙상의 "x") 및 160nm SB 간격(박스)인 경우, CD의 또 다른 플롯 대 다양한 간격으로 Cr SB를 가진 25mJ - .24 스레시홀드 CLM에 대한 피치를 예시한다. 도 3은 SB 배치(메인 피처 에지로부터의 SB 간격)의 영향과, 보다 근접한 SB 배치가 높은 피처 CD를 발생시키는 것을 설명한다. 예를 들어, 70nm에서의 SB인 경우, 메인 피처 CD는 550nm의 피치(X-축선)에 대하여 110nm이고, 160nm에서의 SB인 경우, 메인 피처 CD는 550nm의 피치에 대하여 ~77nm이다.
이러한 해결책들은 소정 상황에 활용될 수 있지만, 대다수의 환경에서 이러한 해결책을 구현하기가 매우 힘들다. 예를 들어, 소정 마스크 디자인에서, 필요한 위치내에 원하는 치수를 갖는 SB를 삽입하는 것이 매우 힘들 수 있다.
따라서, 주어진 마스크 패턴내에 포함된 피처의 CD가 변화하기 때문에, CD의 프린팅에 관하여 "선형" 거동을 정의하고 유지하기 위한 단순하고 체계적인 접근법을 제공하는 방법 및 기술의 필요성이 부각된다.
상기의 필요성을 해결하고자 하는 노력으로, 본 발명의 제1목적은 주어진 마스크 패턴내에 포함된 피처의 CD가 변화함에 따라, CD의 프린팅에 관하여 "선형" 거동을 정의하고 유지하기 위한 단순하고 체계적인 접근법을 제공하는 방법 및 기술 제공하는 것이다.
더욱 상세하게는, 예시적인 일 실시예에서, 본 발명은 변화하는 임계치수를 가지는 복수의 피처들을 포함하는 패턴을 프린팅하는 마스크를 생성하는 방법을에 관한 것이다. 상기 방법은, (1) 패턴을 나타내는 데이터를 획득하는 단계; (2) 복수의 피처의 임계치수에 기초하여 복수의 구별 영역을 정의하는 단계; (3) 복수의 구별 영역 중 하나안으로 각각의 피처를 분류하는 단계; 및 (4) 복수의 구별 영역의 사전설정된 구별 영역안으로 분류된 각각의 피처를 위해 마스크패턴을 수정하는 단계를 포함한다.
본 명세서에서는 IC의 제조에 있어서의 본 발명에 따른 장치의 사용례에 대하여 언급하였으나, 이러한 장치가 다른 여러 가능한 응용례를 가지고 있음이 명백히 이해되어야 할 것이다. 예를 들어, 상기 장치는 집적 광학시스템, 자기영역메모리용 유도 및 검출패턴, 액정표시패널, 박막자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 이러한 대안적인 적용례와 관련하여, 본 명세서에서 사용되는 "레 티클", "웨이퍼" 또는 "다이"와 같은 용어가 각각 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 대체되고 있음을 이해할 수 있다.
본 명세서에서, "방사선" 및 "빔"이란 용어는 (예를 들어, 파장이 365, 248, 193, 157 또는 126nm 인)자외선 및 (예를 들어, 파장이 5 내지 20nm 범위인 극자외방사선)EUV를 포함한 모든 형태의 전자기방사선을 포괄하여 사용된다.
여기서 사용되는 마스크라는 용어는 기판의 타겟부에 생성되어야 할 패턴에 대응하는, 패터닝된 단면을 입사하는 방사선빔에 부여하도록 사용될 수 있는 일반적인 패터닝수단을 의미하는 것으로 폭넓게 해석되어야 하며, 본 명세서에서는 "광밸브(light valve)"라는 용어로도 사용될 수 있다. 대표적인 마스크(투과 또는 반사; 바이너리, 위상시프트, 하이브리드) 이외에도, 이러한 패터닝수단의 예로는 다음과 같은 것들이 포함된다.
a) 프로그램가능한 거울배열. 이러한 장치의 예로는, 점탄성제어층 (viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어) 반사면의 어드레스된 영역(addressed area)에서는 입사광을 회절광으로 반사하는 한편, 어드레스되지 않은 영역에서는 입사광을 비회절광으로 반사하는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로 빔은 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 요구되는 매트릭스 어드레싱은 적절한 전자수단을 사용하여 수행될 수 있다. 이러한 거울배열에 관한 더 많은 정보는, 예를 들어 본 명세서에서 참조자료로 채용되고 있는 미국특허 US 5,296,891호, US 5,523,193호로부터 얻을 수 있다.
b) 프로그래밍 가능한 LCD 배열. 이러한 구조의 일례는 본 명세서에서 참조자료로 채용되고 있는 미국특허 US 5,229,872호에 개시되어 있다.
본 발명의 방법은 종래 기술을 넘어서 중요한 장점을 제공한다. 예를 들어, 본 발명은 주어진 마스크 패턴내에 포함된 피처의 CD 및 피치가 변화함에 따라, CD의 프린팅에 관하여 "선형" 거동을 정의하고 유지하기 위한 단순하고 체계적인 접근법을 제공한다. 또한, 본 발명은 CPL 기술과 연관하여 향상된 해상도를 사용하는 것을 허용하는 간단한 방법이다.
이하, 본 발명의 예시적인 실시예를 상세히 설명하여 당업자가 명확히 이해할 수 있도록 본 발명의 부가적인 장점을 서술한다.
첨부한 도면을 참조로, 또 다른 목적 및 장점과 함께 본 발명 그 자체를 상세히 설명한다.
CPL 마스크의 CD 선형 커브를 분석하는 경우, "마스크 에러 향상 인자(mask error enhancement factor; "MEEF")를 고려하는 것이 유용하다. 본 발명에 따르면, 무크롬 위상 시프트마스크용 CD 선형 커브는 기본적으로 세 개의 영역으로 나뉠 수 있다. 즉, 포지티브 MEEF를 가지는 제1이미징 영역; 0 MEEF 근처를 가지는 제2이미징영역; 및 네거티브 MEEF를 가지는 제3이미징영역을 가진다. 거의 0이고 네거티브 MEEF인 경우, 근본적으로 CD 선형을 달성하는 것이 불가능하다는 것이 통설이다.
도 4a 내지 도 4c는 0.3 세기 스레시홀드 대 피처 폭에서 이미지 CD의 플롯 인 통상적인 CPL 마스크 피처의 에어리얼 이미지 거동을 예시한다. 도 4a에 도시된 바와 같이, 묘화되는 피처(10)는, 예를 들어, 도 4b에 도시된 바와 같이 쿼츠 마스크 기판상에 형성되어 있는 인접한 2개의 위상에지(12 및 14)에 의하여 규정되는 폭(즉, CD)을 가진다. 도 4a의 예시에서 활용되는 피처의 피치는 300nm이다. 또한, 예시적인 설명에서 QUASAR 조명 세팅은 .85 외측/.55내측/30도이다.
도 5에 예시된 바와 같이, 에어리얼 이미지 슬로프의 3개의 구별 "영역"이 기본적으로 관측될 수 있다. 즉, 포지티브 에어리얼 이미지 슬로프를 가진 제1영역; 거의 0의 에어리얼 이미지 슬로프를 갖는 제2영역; 네거티브 에어리얼 이미지 슬로프를 갖는 제3영역을 가진다. 제1영역은 작은 폭을 갖는 CPL 피처의 특성을 나타내며, 2개의 위상에지는 서로 인접해 있다. 도 5에 도시된 바와 같이, 제1영역에서, 피처의 CD가 증가함에 따라, 웨이퍼상에 묘화된 생성 피처의 CD 또한 실질적으로 선형인 방식으로 증가한다. 제1영역에서, 2개의 위상에지는 단일 라인패턴을 형성하기 위해서 밀접하게 교차한다.
제2영역은 2개의 인접한 무크롬 상 에지를 이용하여 달성될 수 있는 최대 피처 크기를 나타낸다. 도 5에 도시된 바와 같이, 제2영역에서, 에어리얼 이미지의 슬로프는 거의 0이다. 이처럼, 피처 폭은 계속해서 증가하기 때문에, 웨이퍼 상에 묘화된 생성 피처의 폭은 선형의 방식으로 더이상 증가하지 않는다(즉, 피처 폭의 변동에 관계없이, 묘화된 피처의 폭은 근본적으로 동일하게 남아 있음). 이는 제2영역에서 2개의 위상 에지가 적절한 이미지 형성에 필요한 상호작용성을 잃어버리기 시작하는 사실에 기인한다.
제3영역에서, 2개의 위상에지는 이미지 형성중에 충분한 상호작용을 가지도록 서로로부터 너무 멀리 떨어져 있다. 그 결과, 도 5에 도시된 바와 같이, 묘화된 피처의 폭은 소정 피처의 폭에 더이상 명확히 일치하지 않는다. 도 5의 에어리얼 이미지 거동이 고정된 피치를 갖는 CPL 피처에 대응하는 동안, 피치에서의 실제 문제가 생성 CD에 영향을 줄 수 있다는 것을 유의한다. 따라서, 본 발명은 하기에 서술된 바와 같이, 세 개의 "구역"을 결정하는 경우, 생성 CD상의 피치의 변화의 결과를 고려한다.
상술된 바와 같이, 마스크 에러 향상 인자(MEEF)는 피처 CD의 프린트된 레지스트의 변화와 대응하는 마스크 피처 CD의 변화 사이의 비율이다. MEEF=1은 마스크 CD 변화에 대하여 1:1 비율(즉 선형 반응)을 가지는 레지스트 CD를 나타낸다.
MEEF<1은 마스크 CD내의 변화의 동일한 양 보다 낮은 레지스트 CD변화를 나타낸다.
MEEF>1은 마스크 CD내의 변화의 동일한 양 보다 많은 레지스트 CD변화를 나타낸다.
MEEF=0은 마스크 피처상의 CD 변화에 대응하지 않는 레지스트 피처 CD를 나타낸다.
일반적으로, 마스크상의 최적의 CD 제어를 위해, MEEF가 1 이하를 가지는 것이 바람직하다. 하지만, MEEF가 거의 0인 경우, 마스크상의 대응하는 CD를 프린트하기 위해서 마스크피처 CD를 변화시키는 것이 가능하지 않기 때문에, 바람직한 웨이퍼 CD 타겟을 달성하는 것이 불가능하다는 것을 암시한다. MEEF가 음수이면, 웨 이퍼 CD가 마스크 CD보다 작게 프린트 될 것을 의미한다.
전술한 바와 같이, 제1영역내의 CD는 CPL 기술을 이용하는 웨이퍼상에 정확히 복사될 수 있으나, 제2 및 제3영역내의 CD는 그렇지 않다. 더욱 상세하게는, 제2영역에서의 피처 폭(즉, CD)의 경우, 프린트된 피처폭은 마스크상의 피처의 CD에 관계없이 고정된 채로 남아있으려는 경향이 있다. 이는 주로 에어리얼 이미지의 거의 0인 슬로프로 인한 것이다. 제2영역내의 타겟 CD는 일반적으로 크롬피처로 묘화될 수 있는 것보다 작다. 더욱이, 0 에어리얼 이미지 슬로프는 마스크 데이터 바이어스를 변조시키는 것이 거의 불가능하기 때문에, 광근접보정(OPC)이 불가능할 수도 있다. 제3영역에서, 포지티브 마스크 바이어스는 네거티브 이미지 바이어스를 유발하므로, OPC가 힘들다. 또한, 제3영역은 매우 조악한 프로세스 윈도우를 특징으로 한다. 제3영역에서의 소정 크기보다 큰 마스크 피처 크기인 경우, 이미지가 전혀 생성되지 않는다.
피처 폭과 피치의 넓은 범위에 걸쳐 CD 선형을 유지하는 것과 관련된 전술한 문제점의 관점에서, 본 발명은 제1, 제2 및 제3영역의 각각에 걸쳐 CD 선형을 유지하는 기술 및 방법을 제공하며, 동일한 프로세싱상태를 이용하여 기판상에 정확히 복사될 CD의 광범위를 허용한다.
상기 공정에서 제1단계는 제1, 제2 및 제3영역 각각에 포함되도록 CD를 정의하는 것을 수반한다. 본 발명에 따르면, 구역 1은 무크롬 상 에지(즉, 무크롬)를 이용하여 묘화될 수 있는 피처의 폭(CD)을 포함하도록 정의된다. 구역 2는 무크롬 기술(즉 인접한 위상 에지)을 이용하여 묘화될 너무 큰 피처 폭 및 (구역 2의 이들 피처에 대하여 부적당한 에어리얼 이미지 콘트라스트가 있는) 크롬 패턴을 이용하여 묘화될 너무 작은 피처폭을 포함하도록 정의된다. 구역 3은 크롬 패턴을 사용하여 충분히 크게 묘화되는 피처 폭을 포함하도록 정의된다. 영역의 명확성이 도 4c 및 도 5에 도시된 CPL 이미지 거동 플롯으로부터 관찰된 영역과 반드시 동일할 필요는 없다는 것을 유의한다. 또한, 상기 영역의 명확성은 노광파장, 개구수(NA) 및 조명세팅등등과 같은 이미징 파라미터에 크게 의존한다는 것을 더욱 유의한다. 이하에 설명되는 바와 같이, 다양한 영역의 결정은 시뮬레이션, 실험 또는 룰 베이스 기술 중 어느 하나에 의하여 실행될 수 있다.
3개의 "영역"이 CD 크기에 기초하여 정의되고 나면, 각각의 "영역"에 포함된 피처들을 프린팅하는 마스크 패턴의 종류는 3개의 영역의 각각에 할당된다. 본 발명에 따르면, 구역 1(즉, 최소 마스크 CD)의 경우, 무크롬 PSM(또는 CPL 마스크)가 이 영역에 포함된 각각의 피처들을 프린팅 하는데 사용될 수 있다. 구역 2(중간 CD)의 경우, 후속하는 4개의 식별된 마스크 패턴, 즉 Zebra, Skunk, Rim 또는 하프톤 에지 바이어싱 중 하나 이상이 활용된다. 구역 3(큰 CD)의 경우, 크롬 또는 무위상시프트 마스크 패턴이 활용된다. 도 6은 본 발명에 따른 CD 치수에 기초하여 구역 1, 2, 3의 간격의 일례를 예시한다.
구역 2에서 CD의 선형을 제어하는 것이 가장 중요하다는 것을 유의한다. 구역 2에 포함된 피처에 대하여 활용된 마스크 기술은 국부적 투과를 변조하고, (무크롬 PSM을 갖는) 2-빔 이미징 시스템에 대항 0th 회절차수를 도입하는 기능을 한 다. 0th 회절차수 보다 포지티브하게 되도록 거의 0에서 MEEF를 "증가시킬" 필요가 있다.
구역 2내에 있는 CD를 갖는 피처들에 관하여는, 본 발명에 따라 상술된 바와 같이, 이들 피처는 4개의 후속 마스크 패턴, 즉 Zebra, Skunk, Rim 또는 Halftone Edge Bias 중 하나를 활용하여 묘화된다. 미국특허 제 6,114,071호는 하프톤 에지 바이어스 마스크 타입을 개시하며, 본 명세서에서 인용참조되고 있다.
도 7a 내지 도 7c는 Skunk, Rim 및 Zebra 마스크의 예시적인 마스크 레이아웃과 그것들과 연관된 기판 토폴로지를 각각 도시한다. 도 7a 내지 도 7b에 도시된 바와 같이, 구역 2에 포함된 피처(70)는 Skunk, Rim 및 Zebra 마스크 패턴을 각각 활용하여 프린트된다. 도 7a를 참조로, Skunk 마스크패턴은 쿼츠 기판의 무 위상 시프트부분(75) 상에 형성될 수 있는 2개의 평행한 크롬 부분(72) 사이에 개구부(71)가 위치된 구성을 채택한다. 즉, 구역 1에 활용될 수 있는 무크롬 피처패턴(예를 들어, 더블 위상에지)은 그 사이에 놓여진 2개의 크롬 부분(72)을 더욱 포함하도록 수정된다. 도시된 바와 같이, 크롬패턴(72)은 피처의 에지상에 배치되고 개구부(71)에 의하여 분리된다. 하기에 설명되는 바와 같이, 이들 추가의 크롬 부분(72)은 투과 퍼센트를 효과적으로 제어하므로, 그로 인해, 생성 이미징 성능을 향상시킨다. 도 7b를 참조로, Rim 마스크 패턴은 Skunk 마스크 패턴과 근본적으로 동일하나, 단일의 추가 크롬부분(72)만이 피처의 중심에 배치된다. Skink와 Rim마스크 패턴 모두, 추가 크롬 부분(72)이 묘화되는 피처(70)와 동일한 축선을 따라 연장된다. 도 7c에 도시된 바와 같이 Zebra 패턴으로 돌아가면, Zebra 레이아웃은 복수의 크롭부분(72)(즉, 크롬 패치)을 채택하며, 상기 부분은 묘화되는 무크롬 피처패턴상에 형성된다. 하기에 더욱 상세히 설명되는 바와 같이, 전술한 마스크 패턴들의 각각의 성능결과가 실질적으로 동일하다 해도, Zebra 패턴은 마스크 제조능력에서 현저한 장점을 제공한다. Zebra 패턴에 활용되는 크롬 부분(72)은 예시를 목적으로 직사각형 또는 정사각형으로 예시되어 있다는 것을 더욱 유의한다. 명확하게는, 크롬부분(72)에 대하여 여타의 형상들이 가능하다.
전술한 마스크 패턴(예를 들어, Skunk, Rim 및 Zebra) 중 각각에서, 프린트 될 무크롬 피처의 최상부에 배치된 크롬부분(72)의 각각은 크롭부분이 서브해상도를 갖도록 치수가 정해지므로, 크롬부분(72)이 개별 피처로서 웨이퍼상에 묘화되는 것을 방지한다.
도 8은 후속 상태, 일반 DUV/ArF(193nm)/4x 및 NA=0.75, 고리(0.92,0.72)하에서, Zebra 레이아웃 CD 선형 성능, 웨이퍼 CD 대 피치의 플롯(82)을 예시한다. 도시된 바와 같이, 플롯(82)에서, 본 발명은, 플롯 (86)에 예시된 바와 같이 무크롬 상 기술만을 이용하는 마스크에 대하여 피치를 통해 CD 선형 성능의 현저한 개선을 제공한다. 도 8은 크롬(바이너리마스크)의 플롯(84)을 상세히 도시한다. 따라서, 구역 2내에 있는 CD를 프린팅하기 위하여 수정된 마스크 패턴(예를 들어 Zebra)을 이용함으로써, 결과적으로 생성된 CD의 선형이 현저하게 개선된다.
도 9a는 구역 2내에 떨어지는 CD를 구비한 피처들을 프린팅하기 위한 예시적인 Zebra 패턴을 예시하고, 도 9b는 레티클의 시뮬레이션된 이미지 성능을 예시하 며, 도 9c는 결과적인 웨이퍼의 시뮬레이션된 이미지 성능을 예시한다. 도시된 바와 같이, 도 9a에서, 정방형 크롬 패치(91)들은 무크롬 라인 패턴(93) 위에 배치된다. 웨이퍼상에 형성된 결과적인 이미지는, Zebra 스타일 마스크 패턴이 어떠한 지그재깅(zigzagging) 또는 여타의 불연속 영향도 없는 깨끗한 이미징 외형(imaging contour)을 갖는 모범(well-behaved) 에어리얼 이미지를 생성한다는 것을 나타낸다. 주어진 예시의 시뮬레이션은 ASML/800KrF, 0.80NA, QUASAR(90nm 타겟 CD 및 260nm 피치를 가짐)를 이용하여 수행되었다는 것에 유의한다.
도 10은 웨이퍼 CD 상의 Zebra 마스크 레이아웃에서 활용된 크롬 패치에서의 사이징(sizing) 오차의 영향을 예시한다. 특별히, 플롯은 측정된 레지스트 웨이퍼 CD(Y축을 따라) vs. 크롬 패치 사이즈(좌측 -120nm에서 우측 +120nm로)(X축을 따라)를 예시한다. 도 10에 예시된 바와 같이, 결과적인 레지스트 CD는 크롬 패치가 -40nm 내지 +120nm사이에서 변화할 때 많이 변화하지 않는다. 이는 묘화될 무크롬 피처상의 크롬 패치의 특정 배치가 크리티컬하지 않음을 예시한다. 주어진 예시에서, 바이어스에서의 160nm 변동은 레지스트 웨이퍼에서의 2nm CD 오차를 초래한다. 따라서, Zebra 마스크 레이아웃은 마스크 제조 및 묘화공정을 현저히 촉진시킨다. 도 10의 플롯은 ASML PAS 5500/800(0.80NA, .87/.57, 30도 QUASAR 가짐)을 이용하여 얻었다.
구역 2내에 떨어지는 피처들을 패터닝하기 위한 3가지 기술(즉, Zebra, Skunk, Rim) 모두는 2가지 마스크 기록 단계들을 이용한다. 제1마스크기록단계는 크리티컬마스크기록단계로서, 묘화될 피처에 대응하는 무크롬위상시프트패턴의 형 성을 수반한다(즉, 제1마스크기록단계는 위상에지를 정의함). 제2마스크기록단계는 각각 상기 Zebra, Skunk 또는 Rim 패턴을 형성하도록 소정의 크롬 패치의 정의를 수반한다. 제2마스크기록단계를 수행하는 경우, Skunk 및 Rim 기술 양자 모두는 제1기록단계에 대하여 정밀한 오버레이를 요구한다. 이는 크롬의 배치의 이동이 에어리얼 이미지의 중심궤적의 이동을 초래하여 라인 배치 오차를 유발할 수 있기 때문이다. 따라서, 제2마스크기록단계에서의 오정렬 문제는 Skunk 및 Rim 기술의 효과를 현저히 떨어뜨릴 수 있다(예를 들어, 크롬 부분이 무크롬 피처상에 적절하게 위치되지 않는 경우). 하지만, 이와는 대조적으로, Zebra 기술은 오버레이 오차(즉, 오정렬)에 대한 현저한 공차를 제공한다. 이는 Zebra에서의 크롬 패치들이 "자가-정렬(self-aligned)"된다는 사실 때문이다. 따라서, Zebra 기술은 최소 정렬 이슈들을 발생시킨다.
Zebra의 일 실시예에서, 크롬 패치들은 프린트될 무크롬 피처에 대하여 직교연장하도록, 바람직하게는 "오버사이징" 되도록 처음에 형성된다. 상술된 내용은 제조공정을 단순화시키는 한편, 묘화 성능을 최적화하기 위하여 투과의 변조 및 투과 백분율의 현저한 제어도 고려한다. 실제로, 투과 제어는 프린트될 주어진 피처상의 크롬 패치들간의 피치를 변화시켜 용이하게 실행될 수 있다. 이는 크롬 피처들이 프린트될 무크롬 피처에 대하여 직교 연장한다는 사실로 인하여 가능하다. 따라서, 크롬이 기판상에 퇴적됨에 따라, 크롬 패치들의 폭을 증가시키면, 주어진 CPL 피처상의 크롬 패치들간의 피치를 감소시키는 것이 가능하다. 대안적으로, 퇴적되는 크롬의 폭을 감소시키면(즉, 피처의 세로축에 직교 연장하는 방향으로), 주 어진 피처상의 크롬 패치들간의 피치가 증가한다. 이와 같이, Zebra 처리 패턴은, 어떠한 정렬 이슈도 유발시키지 않으면서, 백분율 투과를 제어하도록 용이하게 조정될 수 있다.
추가적으로, 마스크 레이아웃의 데이터 볼륨과 관련된 이슈가 고려되어야 한다. 마스크 법의 4가지 형태를 비교하면, Skunk와 Rim은 로우 데이터를 가지는 반면, Zebra와 하프톤 에지 바이어싱은 패턴 형성과 비슷한 높은 마스크 데이터 듀를 가진다. 하지만, 전체 칩 패턴 중 소량만이 구역 2 솔루션을 인가하는데 필요하기 때문에 통상적으로 Zebra와 하프톤 에지 바이어싱 둘 모두를 위한 데이터 볼륨이 허용될 수 있다.
도 11a 및 도 11b는 도면형태로 성능비교 한 Skunk, Rim 및 Zebra 레이아웃에 대한 성능비교 및 각 레이아웃에 대한 Dose Profile의 플롯을 예시하고 있다. 도 11a 및 도 11b에 나타내었듯이, Skunk, Rim 및 Zebra 레이아웃에 대한 이미지 차는 크지 않다.
도 12는 본 발명의 일반적인 방법을 예시하고 있는 예시적인 플로우차트를 나타내고 있다. 제1단계(단계120)는 웨이퍼상에 묘화될 소정의 마스크 패턴을 얻는 것이다. 이러한 마스크 데이터는 GDS 포맷 또는 여타 적절한 데이터 포맷에 있을 수 있다. 다음 단계(단계122)는 구역 1, 2 및 3에 대한 컷오프 포인트를 결정하는 것이다. 본 실시예에서, 상기 구역들은 프린팅될 피처들의 CD를 기초로 하여 정의된다. 상술된 바와 같이, 구역 1, 2 및 3은 소정 세트의 처리 조건 및 웨이퍼를 묘화시키기 위하여 활용되는 툴에 따라 변할 수 있다. 구역을 정의하는 일 방법은 소 정 조건을 활용하는 시뮬레이션을 운영하여 에어리얼 이미지의 거동 및 피처의 폭에 대한 소정 처리의 MEEF를 판정하는 것이다. 그 후, 상기 "구역"들은 예를 들어, 도 5와 연계하여 상술된, 생성된 CPL 피처들의 슬로프를 기초로 하여 쉽게 판별될 수 있다. 일단 "구역"들이 판별되면, 피처들(즉, 기하학적 구조) 각각이 그것의 CD를 기초로 하는 소정 구역(즉, 구역 1, 2 또는 3)으로 할당된다(단계124). 다음으로, (단계126) 구역 2의 기하학적 구조로서 판별된 각각의 피처는 선행 구역 2의 패턴 취급법들 중 하나로 취급된다. 바람직한 실시예에서는, Zebra 패턴 취급법이 적용된다. 다음 단계는 구역 1 및 구역 2에 포함된 피처의 무크롬 상 구성요소 각각을 포함하는 제1마스크 패턴을 생성시키는 단계 및 구역 2 및 3에 포함되는 피처의 크롬 구성요소 각각을 포함하는 제2마스크 패턴을 생성시키는 단계를 수반한다(단계130). 그 다음, 제1마스크 패턴 및 제2마스크 패턴이 별도의 묘화 단계에서 묘화되어 웨이퍼상에 소정의 상을 형성한다.
상기 플로우차트는 본 발명의 방법 개요에 지나지 않는다는 것을 유의해야 한다. 독자는 추가적인 단계들이 상기 방법내에 포함될 수도 있다는 것을 분명히 이해해야 한다. 예를 들어, 여타 OPC 기술(예를 들어, 스캐터링 바아 OPC)을 마스크 디자인에 적용시킬 수도 있다. 스캐터링 바아의 경우에 있어서, 이들은 크롬 피처를 포함하는 제2마스크 패턴에 포함된다. 물론, 추가적인 OPC 기술들이 본 발명의 상기 방법에 포함될 수도 있다. 또한, 다양한 조명, 예를 들어 오프 엑시스 조명이 활용되어 묘화공정을 더욱 개선시킬 수 있다.
또한, 상기 방법은 구역 2 및 구역 2내에 포함된 피처들을 판별하고 분류하 는 방법을 기초로 한 모델을 활용하여 기능될 수 있다는 것에 유의해야 한다. 이러한 방법은 도 12에 나타낸 과정을 따른다.
예를 들어, 본 발명에 따르면, 구역 2의 모델 분류는 사이징을 토대로 할 수도 있다. 이는, 구역 3 피처의 구분후에 구역 1 또는 구역 2 피처를 판별하기 위한 피처 크기를 기초로 하여 마스크 피처 CD를 예측하기 위한 모델을 사용함으로써 달성될 수도 있다. 사전설정된 수 X보다 큰 피처들은 구역 2의 피처인 반면, X 이하의 피처들은 구역 1의 피처이다. 상기 "x"는 소정의 마스크 치수를 지칭한다. 상기 값 X는 노광을 위하여 사용되는 개구수(NA) 및 노광 파장(WL)에 의하여 판정될 수도 있다. 이러한 관계는, 예를 들어 X~(WL/NA)라 기술될 수 있다. 보다 작은 WL 및 보다 큰 NA는 X가 더욱 작아지게 한다. 하지만, X에 대한 정확한 수는 경험적으로 판정되어야 한다.
또한, 구역 2의 모델 분류는 바이어싱 방향을 기초로 할 수 있다. 이는, 구역 3의 피처를 구분한 후에, 구역 1 또는 구역 2의 피처들을 판별하기 위한 피처 바이어스를 기초로 하는 마스크 피처 바이어스를 예측하기 위한 모델을 사용함으로써 성취될 수도 있다. 바이어싱된 피처가 양이면 구역 2의 피처이고 바이어싱된 피처가 0 및 음이면 구역 1의 피처이다.
또한, 구역 2의 모델 분류는 MEEF를 기초로 할 수도 있다. 이는 구역 3의 피처를 구분한후에 구역 1 또는 구역 2의 피처들을 판별하기 위한 시뮬레이트된 MEEF를 기초로 하는 마스크 피처의 CD를 예측하기 위한 모델을 사용함으로써 성취될 수도 있다. 1.5보다 크거나 0.8보다 작은 MEEF를 갖는 피처들은 구역 2의 피처이고, 1.5 내지 0.8 사이의 MEEF를 갖는 피처들은 구역 1의 피처이다.
구역 2를 판별하고 분류하기 위한 규칙 기반의(rule-based) 방법을 활용할 수도 있다. 요약하면, 규칙 기반의 방법은, (1) 시뮬레이션 또는 실험적인 결과를 토대로 판정될 수 있는 라인 및 공간 사이징을 기초로 한 각 영역(즉, 구역 1, 2 및 3)을 정의하는 단계; (2) 피처 폭을 기초로 하여 구역 1에 포함되는 피처를 분리하는 단계(상기 피처는 크롬 없이 형성됨); (3) 피처 폭을 기초로 하여 구역 2에 포함되는 피처를 분리하고 구역 2의 패턴 취급법(바람직하게는 Zebra)를 포함시키기 위하여 상기 피처들을 분류하는 단계; (4) 구역 3에 포함되는 피처들을 분리하고 크롬을 활용하여 상기 피처를 패터닝하는 단계; 및 (5) 상기 패턴(구역 1 및 2의 피처) 및 크롬 패턴(구역 2 및 3의 피처)를 별개의 두 마스크 패턴으로 구분하는 단계를 포함한다. 상술된 일반적인 방법에서와 같이, 상기 규칙 기반의 방법은 다양한 여타 OPC 기술을 포함시키기 위하여 수정될 수 있다.
도 13은 구역 2를 분류하기 위한 CPL 레이아웃 디자인을 도시하고 있다. 도 13에 나타낸 바와 같이, 바이너리 패턴은 미리설정된 폭을 넘어 활용되고 SB가 피처들의 미리설정된 간격을 넘어 부가된다. 또한, 라인 폭의 미리설정된 밴드에 의하여 디자인 규칙은 Att-PSM 또는 크롬 하프토닝(chrome halftoning : HT)을 요구하며, 상기 밴드 아래에서, 상기 규칙은 CPL 라인 OPC를 요구한다. 또한, 나타낸 디자인 규칙은 수평 구역에서 Att-PSM 또는 chrome HT 밴드까지 CPL 1:1 듀티비 패턴을, 트라이앵글 구역에서 Att-PSM 또는 chrome HT 밴드 아래의 CPL 트렌치 패턴을, 단계식 트라이앵글 구역에서 CPL 트렌치 패턴 구역 아래의 Cr HT 패턴을, 그리 고 수직방향의 직사각형 구역에서 사전 설정된 공간을 넘는 SB에 대한 Iso 패턴을 요구한다.
도 14는 본 발명을 활용함으로써 얻어지는 묘화 성능의 향상된 예시를 나타내고 있다. 도 14를 참조하면, 90nm 이하의 폭을 갖는 피처는 구역 1에 잔류하는 것으로 여겨진다. 도시된 바와 같이, 구역 1의 피처는 인접한 상의 에지(즉, 무크롬 피처)를 활용하여 프린팅된다. 90nm 내지 130nm 사이의 폭을 갖는 피처들은 구역 2에 잔류하는 것으로 보여진다. 구역 2의 피처는 Zebrs 패턴 취급법을 포함시키기 위하여 수정되었다. 나타낸 바와 같이, 크롬 패치들의 사용은 묘화성능을 향상시켰다. 130nm보다 큰 폭을 갖는 피처는 구역 3에 잔류하는 것으로 보이며, 크롬을 활용하여 프린팅되었다. 나타낸 바와 같이, 크롬을 사용하지 않으면, 구역 3은 웨이퍼상에 묘화되지 않는다. 따라서, 도 14는 디자인에 포함되는 피처의 폭이 변화하므로 본 발명에 따른 구역 2 및 구역 3에 인가된 바와 같은 크롬의 사용이 CD 선형성(linearity)의 제어를 어떻게 제공하는 지를 예시하고 있다.
상술된 바와 같이, 제1 및 제2마스크를 생성시키는 본 발명의 공정은 CAD 시스템을, ASML MaskTools에 의하여 판매되는 MaskWeaverTM과 같은 소프트웨어를 생성시키는 마스크와 연계해 활용하여 수행되는 것이 통상적이다. 이러한 CAD 시스템 및 마스크 디자인 소프트웨어는 본 발명의 공정을 포함시키기 위하여 쉽게 프로그래밍될 수 있다.
도 15는 본 발명의 도움으로 디자인된 마스크를 사용하기에 적합한 리소그래 피 투영장치를 개략적으로 나타내고 있다. 상기 장치는:
- 방사선의 투영법(PB)을 공급하는 방사선시스템(Ex,IL), (이 경우에는 특별히 방사원(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 잡아주는 마스크홀더가 마련되어 있고, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 잡아주는 기판홀더가 마련되어 있고, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT);
- 기판(W)의 (예를 들어, 1이상의 다이를 포함하는)타겟부(C)에 마스크(MA)의 조사된 부분을 묘화하는 투영시스템 ("렌즈")(PL)(예를 들어, 굴절렌즈 그룹)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (투과마스크를 구비한) 투과형이다. 하지만, 일반적으로는, 예를 들어 (반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 상술된 바와 같은 형식의 프로그램가능한 거울배열과 같은 그 밖의 다른 종류의 패터닝수단을 채택할 수도 있다.
방사원(LA)(예를 들어, 수은 램프, 엑시머레이저 또는 플라즈마 방전원)은 방사선의 빔을 생성한다. 상기 빔은 맞바로 조명시스템(일루미네이터)(IL)에 들어가거나, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내 반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한 이것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 여타의 다양한 구성요소들을 포함하고 있다. 이러한 방식으로, 마스크(MA)에 도달하는 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된다.
도 15과 관련하여, 상기 방사원(LA)은 리소그패피 투영장치의 하우징내에 놓이지만(흔히 예를 들어, 방사원(LA)이 수은램프인 경우에서 처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향 거울에 의해) 장치 내부로 들어오게 할 수도 있다. 후자의 시나리오는 방사원(LA)이 대개 (예를 들어, KrF, ArF 또는 F2 레이싱 기반의) 엑시머레이저인 경우이다. 본 발명과 청구 범위는 이들 시나리오를 모두 포함하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀 있는 마스크(MA)를 통과한다. 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)을 포커싱한다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안에, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 1에 명확히 도시되지는 않았지만, 장행정모듈(long-stroke module)(개략 위치설정) 및 단행정모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔 장치와는 대조적으로) 웨이퍼스테퍼의 경우에 마스크테이블(MT)이 단행정액추에이터에만 연결되거나 또는 고정될 수도 있다.
도시된 장치는 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 그 후 기판테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동 가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대 방향으로 동시에 이동하는데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 M=1/5)이다. 이러한 방식으로, 해상도를 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
상술된 바와 같이, 본 발명의 방법은 종래기술을 뛰어 넘는 중요한 장점들을 제공한다. 예를 들어, 본 발명은, 소정 마스크 패턴에 포함되는 피처의 CD 및 피치가 가변적이므로 CD의 프린팅과 관련한 "선형(linear)" 거동을 정의 및 유지시키는 단순하고 체계적인 접근법을 제공한다.
본 발명의 특정 실시예에 대하여 설명하였으나, 본 발명은 그 기술적사상이 나 본질적인 특성을 벗어나지 않는 다른 형태로 구현될 수도 있다는 것에 유의해야 한다. 따라서, 본 발명의 실시예들은 모든 형태에 있어 예시적인 것이지 제한적인 것은 아니며, 본 발명의 범위는 첨부된 청구항에 의하여 지시되며, 따라서 청구항과 균등한 의미 및 범위내에 있는 모든 변화는 그 안에 포함되는 것으로 보아야 한다.
본 발명에 따르면, 주어진 마스크 패턴내에 포함된 피처의 CD가 변화함에 따라, CD의 프린팅에 관하여 "선형" 거동을 정의하고 유지하기 위한 단순하고 체계적인 접근법을 제공하는 방법 및 기술 제공받을 수 있게 된다.

Claims (18)

  1. 변화하는 임계치수를 갖는 복수의 피처를 포함하는 패턴을 프린팅하기 위한 마스크를 생성하는 방법에 있어서,
    상기 패턴을 나타내는 데이터를 취득하는 단계;
    상기 복수의 피처의 상기 임계치수에 기초하여 복수의 구별 구역(distinct zone)을 정의(define)하는 단계;
    상기 피처 각각을 상기 복수의 구별 구역 중 하나로 분류(categorize)하는 단계; 및
    상기 복수의 구별 구역 중 사전 정의된 구별 구역으로 분류된 각 피처에 대해 상기 마스크 패턴을 수정(modify)하는 단계를 포함하는 것을 특징으로 하는 마스크 생성방법.
  2. 제 1항에 있어서,
    상기 복수의 구별 구역은,
    무크롬 위상 리소그래피 기술을 이용하여 제 1 소정량과 같거나 그 보다 작은 임계치수를 갖는 피처가 묘화될 수 있는 제 1 구역;
    무크롬 위상 리소그래피 기술과 크롬의 결합을 이용하여 상기 제 1 소정량보다 크고 제 2 소정량보다 작은 임계치수를 갖는 피처가 묘화될 수 있는 제 2 구역;및
    크롬을 이용하여 상기 제 2 소정량보다 큰 임계치수를 갖는 피처가 묘화될 수 있는 제 3구역을 포함하는 것을 특징으로 하는 마스크 생성방법.
  3. 제 2항에 있어서,
    상기 제 1 구역내의 상기 피처 중 하나 이상은 상기 마스크 내에서 웨이퍼 내에 에칭된 인접 위상 에지로서 기능하는(implement) 것을 특징으로 하는 마스크 생성방법.
  4. 제 2항에 있어서,
    상기 제 2 구역내의 상기 피처 중 하나 이상은 상기 마스크 내에서 기판내에 에칭된 인접 위상 에지로서 기능하며, 크롬패치가 상기 인접 위상 에지 사이에 남아있는 상기 기판의 상면에 배치되는 것을 특징으로 하는 마스크 생성방법.
  5. 제 4항에 있어서,
    상기 크롬 패치는, 상기 마스크 상에 입사되는 광원의 투과 백분율을 제어하도록 작용하는 것을 특징으로 하는 마스크 생성방법.
  6. 제 2항에 있어서,
    상기 제 1 구역내에 포함된 피처, 및 상기 제 2 구역내에 포함된 피처의 부크롬 위상 성분을 컴파일링하는 단계;
    상기 제 1 구역 및 상기 제 2 구역내에 포함된 무크롬 위상 성분을 묘화하기 위한 제 1 마스크를 생성하는 단계;
    상기 제 3 구역내에 포함된 피처의 크롬 성분과 상기 제 2 구역내에 포함된 피처의 크롬 성분을 컴파일링하는 단계; 및
    상기 제 2 구역 및 상기 제 3 구역 내에 포함된 크롬 성분을 묘화하기 위한 제 2 마스크를 생성하는 단계를 더욱 포함하는 것을 특징으로 하는 마스크 생성방법.
  7. 변화하는 임계치수를 갖는 복수의 피처를 포함하는 패턴을 프린팅하기 위한 마스크를 생성하는 장치에 있어서,
    상기 패턴을 나타내는 데이터를 취득하는 수단;
    상기 복수의 피처의 상기 임계치수에 기초하여 복수의 구별 구역(distinct zone)을 정의(define)하는 수단;
    상기 피처 각각을 상기 복수의 구별 구역 중 하나로 분류(categorize)하는 수단; 및
    상기 복수의 구별 구역 중 사전 정의된 구별 구역으로 분류된 각 피처에 대해 상기 마스크 패턴을 수정하는 수단을 포함하는 것을 특징으로 하는 마스크 생성장치.
  8. 제 7항에 있어서,
    상기 복수의 구별 구역은,
    무크롬 위상 리소그래피 기술을 이용하여 제 1 소정량과 같거나 그 보다 작은 임계치수를 갖는 피처가 묘화될 수 있는 제 1 구역;
    무크롬 위상 리소그래피 기술과 크롬의 결합을 이용하여 상기 제 1 소정량보다 크고 제 2 소정량보다 작은 임계치수를 갖는 피처가 묘화될 수 있는 제 2 구역; 및
    크롬을 이용하여 상기 제 2 소정량보다 큰 임계치수를 갖는 피처가 묘화될 수 있는 제 3구역을 포함하는 것을 특징으로 하는 마스크 생성장치.
  9. 제 8항에 있어서,
    상기 제 1 구역내의 상기 피처 중 하나 이상은 상기 마스크 내에서 웨이퍼 내에 에칭된 인접 위상 에지로서 기능하는 것을 특징으로 하는 마스크 생성장치.
  10. 제 8항에 있어서,
    상기 제 2 구역내의 상기 피처 중 하나 이상은 상기 마스크 내에서 기판내에 에칭된 인접 위상 에지로서 기능하며, 크롬패치가 상기 인접 위상 에지 사이에 남아있는 상기 기판의 상면에 배치되는 것을 특징으로 하는 마스크 생성장치.
  11. 제 10항에 있어서,
    상기 크롬 패치는, 상기 마스크 상에 입사되는 광원의 투과 백분율을 제어하 도록 작용하는 것을 특징으로 하는 마스크 생성장치.
  12. 제 8항에 있어서,
    상기 제 1 구역내에 포함된 피처, 및 상기 제 2 구역내에 포함된 피처의 무크롬 위상 성분을 컴파일링하는 수단;
    상기 제 1 구역 및 상기 제 2 구역내에 포함된 무크롬 위상 성분을 묘화하기 위한 제 1 마스크를 생성하는 수단;
    상기 제 3 구역내에 포함된 피처의 크롬 성분과 상기 제 2 구역내에 포함된 피처의 크롬 성분을 컴파일링하는 수단; 및
    상기 제 2 구역 및 상기 제 3 구역 내에 포함된 크롬 성분을 묘화하기 위한 제 2 마스크를 생성하는 수단을 더욱 포함하는 것을 특징으로 하는 마스크 생성장치.
  13. 변화하는 임계치수를 갖는 복수의 피처를 포함하는 패턴을 프린팅하기 위한 마스크에 대응하는 파일을 생성하도록 컴퓨터에 지시하도록 기록 매체에 기록된 수단으로서, 상기 컴퓨터에 의하여 판독가능한 상기 기록 매체를 포함하는 컴퓨터를 제어하기 위한 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서,
    상기 파일의 생성은,
    상기 패턴을 나타내는 데이터를 취득하는 단계;
    상기 복수의 피처의 상기 임계치수에 기초하여 복수의 구별 구역(distinct zone)을 정의(define)하는 단계;
    상기 피처 각각을 상기 복수의 구별 구역 중 하나로 분류(categorize)하는 단계 ; 및
    상기 복수의 구별 구역 중 사전 정의된 구별 구역으로 분류된 각 피처에 대해 상기 마스크 패턴을 수정하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  14. 제 13항에 있어서,
    상기 복수의 구별 구역은,
    무크롬 위상 리소그래피 기술을 이용하여 제 1 소정량과 같거나 그 보다 작은 임계치수를 갖는 피처가 묘화될 수 있는 제 1 구역;
    무크롬 위상 리소그래피 기술과 크롬의 결합을 이용하여 상기 제 1 소정량보다 크고 제 2 소정량보다 작은 임계치수를 갖는 피처가 묘화될 수 있는 제 2 구역; 및
    크롬을 이용하여 상기 제 2 소정량보다 큰 임계치수를 갖는 피처가 묘화될 수 있는 제 3구역을 포함하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  15. 제 14항에 있어서,
    상기 제 1 구역내의 상기 피처 중 하나 이상은 상기 마스크 내에서 웨이퍼 내에 에칭된 인접 위상 에지로서 기능하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  16. 제 14항에 있어서,
    상기 제 2 구역내의 상기 피처 중 하나 이상은 상기 마스크 내에서 기판내에 에칭된 인접 위상 에지로서 기능하며, 크롬패치가 상기 인접 위상 에지 사이에 남아있는 상기 기판의 상면에 배치되는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  17. 제 16항에 있어서,
    상기 크롬 패치는, 상기 마스크 상에 입사되는 광원의 투과 백분율을 제어하도록 작용하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  18. 제 14항에 있어서,
    상기 파일의 생성은,
    상기 제 1 구역내에 포함된 피처, 및 상기 제 2 구역내에 포함된 피처의 부크롬 위상 성분을 컴파일링하는 단계;
    상기 제 1 구역 및 상기 제 2 구역내에 포함된 무크롬 위상 성분을 묘화하기 위한 제 1 마스크를 생성하는 단계;
    상기 제 3 구역내에 포함된 피처의 크롬 성분과 상기 제 2 구역내에 포함된 피처의 크롬 성분을 컴파일링하는 단계; 및
    상기 제 2 구역 및 상기 제 3 구역 내에 포함된 크롬 성분을 묘화하기 위한 제 2 마스크를 생성하는 단계를 더욱 포함하는 것을 특징으로 하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
KR1020030064616A 2002-09-11 2003-09-13 풀칩 cpl 제조를 위한 cd 선형제어를 달성하는 방법 KR100566143B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40959902P 2002-09-11 2002-09-11
US60/409,599 2002-09-11

Publications (2)

Publication Number Publication Date
KR20040023784A KR20040023784A (ko) 2004-03-18
KR100566143B1 true KR100566143B1 (ko) 2006-03-30

Family

ID=32507585

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030064616A KR100566143B1 (ko) 2002-09-11 2003-09-13 풀칩 cpl 제조를 위한 cd 선형제어를 달성하는 방법

Country Status (7)

Country Link
US (2) US7211815B2 (ko)
EP (1) EP1398666A3 (ko)
JP (1) JP4323919B2 (ko)
KR (1) KR100566143B1 (ko)
CN (1) CN100589030C (ko)
SG (1) SG118203A1 (ko)
TW (1) TWI274969B (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
JP4483612B2 (ja) * 2005-02-09 2010-06-16 ソニー株式会社 フォトマスクの製造方法、および、半導体デバイスの製造方法
JP4617272B2 (ja) * 2005-04-12 2011-01-19 エーエスエムエル マスクツールズ ビー.ブイ. 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
US20060234137A1 (en) * 2005-04-15 2006-10-19 Samsung Electronics Co., Ltd. Photomask structures providing improved photolithographic process windows and methods of manufacturing same
US7642019B2 (en) * 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US20070015089A1 (en) * 2005-07-14 2007-01-18 Freescale Semiconductor, Inc. Method of making a semiconductor device using a dual-tone phase shift mask
US7732102B2 (en) * 2005-07-14 2010-06-08 Freescale Semiconductor, Inc. Cr-capped chromeless phase lithography
US7892703B2 (en) * 2005-08-12 2011-02-22 Asml Masktools B.V. CPL mask and a method and program product for generating the same
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US20070243491A1 (en) * 2006-04-18 2007-10-18 Wu Wei E Method of making a semiconductor with a high transmission CVD silicon nitride phase shift mask
CN101086623B (zh) * 2006-06-08 2011-06-08 中芯国际集成电路制造(上海)有限公司 使基于模型的光学近似修正更精确的方法
KR100801738B1 (ko) * 2006-06-28 2008-02-11 주식회사 하이닉스반도체 포토마스크 및 그 형성방법
EP1876494A1 (en) * 2006-07-06 2008-01-09 ASML MaskTools B.V. An improved CPL mask and a method and program product for generating the same
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) * 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
CN103777456A (zh) * 2011-12-31 2014-05-07 聚灿光电科技(苏州)有限公司 平板式光刻板
CN109298592A (zh) * 2012-02-15 2019-02-01 大日本印刷株式会社 相移掩模及使用该相移掩模的抗蚀图案形成方法
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
KR102154075B1 (ko) 2013-10-21 2020-09-09 삼성전자주식회사 반도체 소자의 검사 방법 및 반도체 검사 시스템
KR102230503B1 (ko) * 2015-04-14 2021-03-22 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 마스크 패턴 제조 시스템 및 방법

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5184021A (en) * 1991-06-24 1993-02-02 Siscan Systems, Inc. Method and apparatus for measuring the dimensions of patterned features on a lithographic photomask
US5633102A (en) * 1991-08-23 1997-05-27 Intel Corporation Lithography using a new phase-shifting reticle
US5354632A (en) * 1992-04-15 1994-10-11 Intel Corporation Lithography using a phase-shifting reticle with reduced transmittance
US5348826A (en) * 1992-08-21 1994-09-20 Intel Corporation Reticle with structurally identical inverted phase-shifted features
US5302477A (en) * 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5424154A (en) * 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5565286A (en) * 1994-11-17 1996-10-15 International Business Machines Corporation Combined attenuated-alternating phase shifting mask structure and fabrication methods therefor
JPH08191043A (ja) * 1995-01-11 1996-07-23 Nikon Corp アライメント方法及び該方法で使用される露光装置
US5618643A (en) * 1995-12-15 1997-04-08 Intel Corporation Embedded phase shifting mask with improved relative attenuated film transmission
US6269472B1 (en) * 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5935733A (en) * 1996-04-05 1999-08-10 Intel Corporation Photolithography mask and method of fabrication
US5840448A (en) * 1996-12-31 1998-11-24 Intel Corporation Phase shifting mask having a phase shift that minimizes critical dimension sensitivity to manufacturing and process variance
US6114071A (en) * 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
AU3063799A (en) * 1998-03-17 1999-10-11 Asml Masktools Netherlands B.V. Method of patterning sub-0.25 lambda line features with high transmission, "attenuated" phase shift masks
US6383719B1 (en) * 1998-05-19 2002-05-07 International Business Machines Corporation Process for enhanced lithographic imaging
US6383697B1 (en) * 1999-08-31 2002-05-07 National University Of Singapore Ultra high resolution lithographic imaging and printing and defect reduction by exposure near the critical condition utilizing fresnel diffraction
US6562522B1 (en) 1999-10-29 2003-05-13 Intel Corporation Photomasking
DE10001119A1 (de) * 2000-01-13 2001-07-26 Infineon Technologies Ag Phasenmaske
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6458495B1 (en) * 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6551750B2 (en) * 2001-03-16 2003-04-22 Numerical Technologies, Inc. Self-aligned fabrication technique for tri-tone attenuated phase-shifting masks
US6664009B2 (en) * 2001-07-27 2003-12-16 Numerical Technologies, Inc. Method and apparatus for allowing phase conflicts in phase shifting mask and chromeless phase edges
US6641959B2 (en) * 2001-08-09 2003-11-04 Intel Corporation Absorberless phase-shifting mask for EUV
US6548417B2 (en) * 2001-09-19 2003-04-15 Intel Corporation In-situ balancing for phase-shifting mask
US6564522B1 (en) * 2001-11-20 2003-05-20 Lee Chiu-Ying Hidden dual loading spring-type floor board fastening mount structure
US6605481B1 (en) * 2002-03-08 2003-08-12 Numerical Technologies, Inc. Facilitating an adjustable level of phase shifting during an optical lithography process for manufacturing an integrated circuit
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern

Also Published As

Publication number Publication date
JP4323919B2 (ja) 2009-09-02
CN100589030C (zh) 2010-02-10
US7667216B2 (en) 2010-02-23
JP2004151717A (ja) 2004-05-27
KR20040023784A (ko) 2004-03-18
SG118203A1 (en) 2006-01-27
EP1398666A3 (en) 2007-07-18
TW200422795A (en) 2004-11-01
US20070148562A1 (en) 2007-06-28
CN1495521A (zh) 2004-05-12
EP1398666A2 (en) 2004-03-17
US7211815B2 (en) 2007-05-01
US20040115539A1 (en) 2004-06-17
TWI274969B (en) 2007-03-01

Similar Documents

Publication Publication Date Title
KR100566143B1 (ko) 풀칩 cpl 제조를 위한 cd 선형제어를 달성하는 방법
US6553562B2 (en) Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
KR100566153B1 (ko) 다이폴 조명을 활용하여 규칙기반 게이트 슈링크를수행하는 방법 및 장치
KR100457839B1 (ko) 규정된 래더바를 서브-해상도 어시스트피처로 활용하는광근접성교정방법
US7399559B2 (en) Optical proximity correction method utilizing phase-edges as sub-resolution assist features
US7549140B2 (en) Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
KR100583697B1 (ko) 자동 광근접성보정(opc) 규칙 생성방법
JP4495663B2 (ja) サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
KR100563776B1 (ko) 공간 주파수 2배가 기술을 활용하여 마스크패턴을형성하는 방법 및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130315

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140314

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150313

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160317

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170310

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee