JP2003521123A - 半導体素子に銅相互接続を形成する方法 - Google Patents

半導体素子に銅相互接続を形成する方法

Info

Publication number
JP2003521123A
JP2003521123A JP2001555133A JP2001555133A JP2003521123A JP 2003521123 A JP2003521123 A JP 2003521123A JP 2001555133 A JP2001555133 A JP 2001555133A JP 2001555133 A JP2001555133 A JP 2001555133A JP 2003521123 A JP2003521123 A JP 2003521123A
Authority
JP
Japan
Prior art keywords
dielectric layer
copper
forming
layer
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001555133A
Other languages
English (en)
Other versions
JP2003521123A5 (ja
Inventor
パーク,スティーブン・キータイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2003521123A publication Critical patent/JP2003521123A/ja
Publication of JP2003521123A5 publication Critical patent/JP2003521123A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 銅相互接続(1645)を形成するための方法を提供する。この方法は、構造層(1100)上に第1の誘電体層(1105)を形成するステップと、第1の誘電体層(1105)内に第1の開口を形成するステップと、第1の開口内に第1の銅構造(1125)を形成するステップとを含む。この方法は、第1の誘電体層(1105)上と第1の銅構造(1125)上とに犠牲誘電体層を形成するステップと、犠牲誘電体層内の、第1の銅構造(1125)の少なくとも一部上に開口を形成するステップと、開口内に第2の銅構造(1440)を形成するステップとをさらに含み、第2の銅構造(1440)は、第1の銅構造(1125)の少なくとも一部と接触する。この方法は、第1の誘電体層(1105)上のかつ第2の銅構造(1440)に隣接する犠牲誘電体層を除去するステップと、第2の銅構造(1440)と第1の銅構造(1125)とをアニールすることによって銅相互接続(1645)を形成するステップとをさらに含む。

Description

【発明の詳細な説明】
【0001】
【技術分野】
この発明は、一般に、半導体製造技術に関し、さらに特定的には、コンタクト
開口およびビアを銅で充填し、銅の相互接続および線を形成するための技術に関
する。
【0002】
【背景技術】
半導体産業には、マイクロプロセッサ、メモリ素子等の集積回路素子の動作速
度を上げる要求が常にある。ますます高速で動作するコンピュータおよび電子装
置に対する消費者の需要が、この要求をかきたてている。より高速を求めるこの
需要により、トランジスタ等の半導体素子のサイズは絶えず縮小されてきた。す
なわち、典型的な電界効果トランジスタ(FET)の多くの構成要素、たとえば
、チャネル長、接合深さ、ゲート誘電体厚等が縮小される。たとえば、すべて他
の条件が等しければ、FETのチャネル長が小さくなるほど、トランジスタの動
作は速くなる。したがって、典型的なトランジスタの構成要素のサイズまたはス
ケールを縮小して、トランジスタだけでなく、このようなトランジスタを組込ん
だ集積回路素子の全体速度を常に上げようとしている。さらに、典型的なトラン
ジスタの構成要素のサイズまたはスケールを縮小することにより、所与の広さの
ウェハ実面積上に製造することのできるトランジスタの密度および数も上昇し、
トランジスタ1つ当りの総コストだけでなく、このようなトランジスタを組込ん
だ集積回路素子のコストも下げる。
【0003】 しかしながら、典型的なトランジスタの構成要素のサイズまたはスケールを縮
小するには、N+(P+)ソース/ドレイン領域、ドープされた多結晶シリコン(
ドープされたポリシリコンまたはドープされたポリ)ゲートコンダクタ等の活性
領域へのコンタクトへの電気的相互接続のサイズおよび断面寸法を縮小すること
も必要になる。電気的相互接続のサイズおよび断面寸法が小さくなるにつれ、抵
抗が増大して、エレクトロマイグレーションが増大する。増大した抵抗およびエ
レクトロマイグレーションは多くの理由により望ましいものではない。たとえば
、増大した抵抗は素子駆動電流、および素子を介したソース/ドレイン電流を減
少させるおそれがあり、トランジスタ全体の速度および動作に悪影響を及ぼすお
それもある。さらに、アルミニウム(Al)相互接続内でのエレクトロマイグレ
ーションの影響により、電流が、電流とともにAl原子を実際に運び、原子のエ
レクトロマイグレーションを引き起こし、Al相互接続の機能低下、さらには抵
抗の増大、ならびにAl相互接続の断線および/またはデラミネーションさえ招
くおそれがある。
【0004】 半導体回路のための理想的な相互接続導体とは、安価であり、パターニングが
容易であり、低い抵抗率を有し、腐食と、エレクトロマイグレーションと、スト
レスマイグレーションとに対し、高い耐性を有するものである。現代の半導体製
造プロセスにおいて、アルミニウム(Al)は、相互接続用に最も頻繁に用いら
れているが、その主な理由は、Alが安価であり、たとえば、銅(Cu)に比べ
てエッチングしやすいからである。しかしながら、Alが劣悪なエレクトロマイ
グレーション特性を有し、ストレスマイグレーションを極めて受けやすいために
、典型的には、Alと他の金属とで合金を作らなければならない。
【0005】 上で論じたように、半導体素子の形態が縮小し、クロック速度が上がるにつれ
、回路金属被覆の抵抗を減らすことが一段と望ましくなった。Alを相互接続に
用いることにより、大きく譲歩された基準の1つは、伝導率の基準である。なぜ
なら、より低い抵抗率(Alは20℃で2.824×10-6ohms−cmの抵
抗率を有する)を有する3つの金属、すなわち、1.59×10-6ohms−c
m(20℃)の抵抗率を有する銀(Ag)、1.73×10-6ohms−cm(
20℃)の抵抗率を有する銅(Cu)、および2.44×10-6ohms−cm
(20℃)の抵抗率を有する金(Au)は、他の重要な基準を満たさないからで
ある。たとえば、銀は比較的高価であり、腐食しやすく、金は非常に高価であり
、エッチングが難しい。銅は、銀とほぼ同じ抵抗率を有し、エレクトロマイグレ
ーションを免れ、高い延性(半導体チップにおいて、異なる材料の異なる膨張率
によって生じる機械的応力から大きく免れさせる)を与え、高融点(Alの65
9℃に対し、Cuは1083℃)を有し、驚くべきことにほとんどの基準を満た
す。しかしながら、Cuは半導体環境下でのエッチングが非常に難しい。Cuの
エッチングが難しいため、結果として、ビアおよび金属線を形成する代替的アプ
ローチを用いる必要がある。ダマシンのアプローチは、線およびビア用に、誘電
体内にトレンチ等の開口をエッチングし、埋込金属パターンを作ることから成っ
ており、サブ−0.25ミクロン(サブ−0.25μm)デザインルールのCu
金属被覆回路の製造に対する主流である。
【0006】 しかしながら、Cu相互接続のより低い抵抗とより高い伝導率とが、より高い
素子密度、すなわち、Cu相互接続間の距離の縮小と組合さると、Cu相互接続
間のキャパシタンスの増大を招くおそれがある。Cu相互接続間のキャパシタン
スの増大は、次いで、半導体素子回路でのRC時間遅延の増大とより長い過渡減
衰時間とを生じる結果となり、半導体素子の全体動作速度を下げてしまう。
【0007】 Cu相互接続間でキャパシタンスが増大する問題に対する従来の解決法の1つ
は、ダマシン技術を用いて、中にCu相互接続が形成される層間誘電体層(IL
D)に、「低誘電率」または「低K」の、Kが約4以下である誘電体材料を用い
ることである。しかしながら、低K誘電体材料は、ダマシン技術とともに用いる
のが難しい材料である。たとえば、低K誘電体材料は、ダマシン技術で用いられ
る、エッチングおよびその後の処理工程の最中に、損傷を受けやすい。さらに、
低K誘電体材料は、2つのCu相互接続を互いに接続するとき、Cuアニールを
用いてCuエレクトロマイグレーションを減少させる際に、Cuを圧迫して応力
を与えるおそれがある。
【0008】 この発明は、上述の問題の1つ以上を克服するか、少なくともその影響を減ず
ることに向けられる。
【0009】
【発明の開示】 この発明の一局面では、銅の相互接続を形成するための方法を提供する。この
方法は、構造層上に第1の誘電体層を形成するステップと、第1の誘電体層内に
第1の開口を形成するステップと、第1の開口内に第1の銅構造を形成するステ
ップとを含む。この方法は、第1の誘電体層上と第1の銅構造上とに犠牲誘電体
層を形成するステップと、第1の銅構造の少なくとも一部上の犠牲誘電体層内に
、第2の開口を形成するステップと、第2の開口内に第2の銅構造を形成するス
テップとをさらに含み、第2の銅構造は、第1の銅構造の少なくとも一部と接触
する。この方法は、第1の誘電体層上のかつ第2の銅構造に隣接する、犠牲誘電
体層を除去するステップと、第2の銅構造と第1の銅構造とをアニールすること
によって銅相互接続を形成するステップとをさらに含む。
【0010】 添付の図面とともに以下の説明を参照することにより、この発明を理解するこ
とができる。図面において、参照番号の最も左の有効数字は、それぞれの参照番
号が現れる最初の図面を示す。
【0011】 この発明は、さまざまな変形および代替的形態が可能であるが、その特定の実
施例を図面で例として示し、ここに詳細を説明する。しかしながら、特定の実施
例の以下の説明は、開示された特定の形態にこの発明を限定するよう意図されず
、反対に、その意図は、前掲の請求項によって規定されるように、この発明の精
神および範囲内にあるすべての変形、等価物、および代替物を包含すべきである
と理解されるべきである。
【0012】
【この発明を実施するための態様】
この発明の実施例を以下に説明する。不明瞭にならないよう、この明細書中で
は実際の実現化例の特徴すべてを説明しない。どの実施例を開発する際にも、開
発者の特定の目標を達成するために、実現例に特有の判断を多数行なわなければ
ならないことは、当然ながら理解されるであろう。たとえば、実現例毎に異なる
、システム関連およびビジネス関連の制約と整合させる必要がある。さらに、こ
のような開発努力は複雑で時間を消費するものであるが、この開示の恩恵を受け
る当業者にとっては、所定の業務であることが理解されるであろう。
【0013】 この発明に従った、半導体素子を製造するための方法の実施例を図1−図20
に示す。半導体素子のさまざまな領域および構造は、極めて精密で鮮明な構成と
輪郭とを有するよう図面に示されているが、当業者は、実際には、これらの領域
および構造が図面で示されるほど精密ではないことを認める。しかしながら、添
付の図面はこの発明の実施例を提供するよう含まれている。
【0014】 一般に、この発明は半導体素子の製造に向けられる。この明細書を完全に読む
と、当業者にとっては容易に明らかであるように、この発明はさまざまな技術、
たとえば、NMOS、PMOS、CMOS等に適用することができ、論理素子、
メモリ素子等を含むさまざまな素子に対して容易に適用できるが、それに限定さ
れない。
【0015】 図1が示すように、半導体基板等の構造100上に、第1の誘電体層120と
第1の銅構造140(銅金属間ビア接続等)とを形成することができる。しかし
ながら、この発明は、シリコンウェハ等の半導体基板の表面上に、Cuベースの
相互接続を形成することに限定されない。むしろ、この開示を完全に読むと、当
業者にとっては明らかであるように、この発明に従って形成されたCuベースの
相互接続は、前に形成された半導体素子および/またはプロセス層、たとえば、
トランジスタ、または他の同様の構造上に形成されてよい。実際に、この発明を
用いて、前に形成されたプロセス層の上にプロセス層を形成することができる。
構造100は、シリコン基板またはウェハ等の半導体材料の下層であってよく、
または、代替的に、金属酸化物半導体電界効果トランジスタ(MOSFET)の
層等の半導体素子の下層(図10等を参照)であってよく、および/または1つ
もしくは複数の金属相互接続層(図9等を参照)および/または1つもしくは複
数の層間誘電体(ILD)層等であってよい。
【0016】 図1−図8が示すように、この発明のさまざまな実施例に従った、1回のダマ
シンによる銅プロセスフローでは、構造100上にかつ第1の銅構造140に隣
接して、第1の誘電体層120を形成する。第1の誘電体層120上と第1の銅
構造140上とに犠牲誘電体層130を形成する。第1の誘電体層120の中に
第1の銅構造140を配置する。第1の誘電体層120と犠牲誘電体層130と
の間に、第1の銅構造140に隣接して、第1の誘電体層120上でエッチング
ストップ層(ESL)110(典型的には窒化シリコン、Si34、または短縮
してSiN)を形成し、パターニングする。必要であれば、化学的機械的平坦化
(CMP)を行なって犠牲誘電体層130を平坦化してもよい。
【0017】 さまざまな「低誘電率」または「低K」(Kは約4以下である)誘電体材料か
ら、第1の誘電体層120を形成することができる。第1の低K誘電体層120
は、このような層を形成するためのさまざまな公知の技術、たとえば、化学気相
成長成長(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PEC
VD)、スパッタリング、物理気相成長(PVD)、スピンオングラス等によっ
て形成されてよく、たとえば、約1000Å−5000Åの範囲の厚さであって
よい。
【0018】 Kが約4以下である、さまざまな低K誘電体材料から、第1の低K誘電体層1
20を形成することができる。例には、アプライドマテリアル(Applied Materi
al)社のブラックダイヤモンド(R)(Black Diamond)、ノベラス(Novellus
)社のコーラル(R)(Coral)、アライドシグナル(Allied Signal)社のナノ
グラス(R)(Nanoglass)、JSR社のLKD5104等が含まれる。一実施
例では、低Kの、第1の誘電体層120は約2500Åの厚さである、メチレン
シリコンハイドロオキサイドからなり、より高いスループットを目指し、プラズ
マ増速CVD(PECVD)によるブランケット堆積によって形成される。
【0019】 犠牲誘電体層130は、このような層を形成するためのさまざまな公知の技術
、たとえば、化学気相成長(CVD)、低圧CVD(LPCVD)、プラズマ増
速CVD(PECVD)、スパッタリング、物理気相成長(PVD)、熱成長等
によって形成されてよい。犠牲誘電体層130は約1000−5000Åの範囲
の厚さであってよい。一実施例では、犠牲誘電体層130は、約1000Åの厚
さの二酸化シリコン(SiO2)からなり、より高いスループットを目指し、L
PCVDプロセスによるブランケット堆積によって形成される。
【0020】 犠牲誘電体層130は、さまざまな誘電体材料から形成されてよく、その一方
または両方は、たとえば、酸化物(Ge酸化物等)、オキシナイトライド(Ga
Pオキシナイトライド等)、二酸化シリコン(SiO2)、窒素含有酸化物(窒
素含有SiO2等)、窒素でドープされた酸化物(N2が注入されたSiO2)、
シリコンオキシナイトライド(Sixyz)等であってよい。犠牲誘電体層1
30は、「高誘電率」または「高K」の、Kが約8以上である、任意の適切な材
料、たとえば、酸化チタン(TiO2等のTixy)、酸化タンタル(Ta25
等のTaxy)、バリウムストロンチウムチタン酸塩(BST,BaTiO3
SrTiO3)等で形成されてもよい。
【0021】 図2が示すように、パターニングされたフォトマスク150(図1−図2)と
フォトリソグラフィとを用いて、次に、金属被覆パターンを形成する。たとえば
、導体金属線、コンタクトホール、ビアホール等のための開口(第1の銅構造1
40の少なくとも部分上に形成されたトレンチ220等)を犠牲誘電体層130
内までエッチングする(図2)。開口220は、さまざまな公知の異方性エッチ
ング技術、たとえば、臭化水素(HBr)とアルゴン(Ar)とをエッチャント
ガスとして用いる、反応性イオンエッチング(RIE)プロセスを用いて形成さ
れ得る。代わりに、たとえば、CHF3とArとをエッチャントガスとするRI
Eプロセスを用いてよい。ドライエッチングをさまざまな実施例で用いることも
できる。エッチングはESL110および第1の銅構造140で止めることがで
きる。
【0022】 図3が示すように、その後、パターニングしたフォトマスク150を剥がし、
タンタル(Ta)からなる薄いバリア金属層325Aと銅シード層325Bとを
気相成長を用いて表面全体に適用する(図3)。図3が示すように、Taからな
るバリア金属層325AとCuシード層325Bとは、犠牲誘電体層130の上
表面330の全体に加え、トレンチ220の側面340と底350との表面をブ
ランケット堆積し、導体表面335を形成する。
【0023】 タンタルまたは窒化タンタル等のバリア金属材料からなる少なくとも1つの層
から、バリア金属層325Aを形成してよい。たとえば、窒化チタン、チタン−
タングステン、窒化チタン−タングステン、マグネシウム、または他の適切なバ
リア金属から、バリア金属層325Aを等価に形成してよい。銅シード層325
Bは、たとえば、物理気相成長(PVD)または化学気相成長(CVD)によっ
て、1つ以上のバリア金属層325Aの上に形成されてよい。
【0024】 銅バルクのトレンチ充填は、電気めっき技術を用いて行なわれることが多く、
導体表面335を電極に機械的にクランプして電気的接触を確立し、その後、C
uイオンを含む電解液に構造100を浸す。次に、ウェハ電解システムを介して
電流を通し、導体表面335上にCuの還元と堆積とを生じさせる。さらに、ウ
ェハ電解システムの交流バイアスは、高密度プラズマ(HDP)テトラエチルオ
ルトシリケート(TEOS)誘電体堆積において用いられる、堆積−エッチング
の循環と同様に、堆積されたCu膜を自己平坦化する方法と考えられてきた。
【0025】 図4が示すように、このプロセスは典型的に、導体表面335の全体にわたっ
て実質的に一定の厚さである、Cu440のコンフォーマルコーティングを形成
する。図5が示すように、Cu440の十分に厚い層が堆積されると、Cu44
0の層はCMP技術を用いて平坦化される。CMPを用いた平坦化により、犠牲
誘電体層130の上表面330の全体から、CuとTaバリア金属とのすべてが
取り除かれ、図5が示すように、Cu440は、1つ以上のバリア金属層325
Aと銅シード層325B(図3および図4)とのそれぞれ残存する部分525A
と525Bとに隣接して、Cu充填トレンチ545等の第2の銅構造としてのみ
残る。
【0026】 図6が示すように、犠牲誘電体層130は、たとえばウエットエッチングを用
いて除去されてよく、Cu充填トレンチ545(図5)内にあったCu440は
、犠牲誘電体層130によって圧迫されず、応力を受けていない状態で残ってい
る。ウエットエッチングはエッチングストップ層(ESL)110で止まる。さ
まざまな代替的実施例において、ドライエッチングおよび/またはプラズマエッ
チングを用いることもできる。犠牲誘電体層130を、たとえば、希フッ酸(H
F)で剥がすことによって選択的に除去することができる。
【0027】 Cu相互接続645は、1つ以上のバリア金属層325Aと銅シード層325
B(図3および図4)との残存する部分525Aと525Bとに隣接するCu4
40を、第1の銅構造140までアニールすることによって形成され得る。アニ
ールは、約200℃−400℃の範囲の温度で、約10−30分の範囲の時間、
分子窒素(N2)含有環境下で従来の管の炉において行なうことができる。代わ
りに、アニールは、約200℃−400℃の範囲の温度で、約1−60秒の範囲
の時間、分子窒素(N2)含有環境下で行なわれる高速熱アニール(RTA)プ
ロセスであってもよい。
【0028】 アニールの前に犠牲誘電体層130を除去することにより、第2のCu構造4
40が犠牲誘電体層130によって圧迫されず、応力を受けないまま、第1のC
u構造140と第2のCu構造440とを共にアニールすることによってCu相
互接続645を形成することができる。このことにより、Cu相互接続645を
形成した後のCuエレクトロマイグレーションをさらに減らすことができる。
【0029】 図7が示すように、「低誘電率」または「低K」(Kは約4以下である)誘電
体層700を、Cu相互接続645に隣接してかつESL110上に形成するこ
とができる。低K誘電体層700は、このような層を形成するためのさまざまな
公知の技術、たとえば、化学気相成長(CVD)、低圧CVD(LPCVD)、
プラズマ増速CVD(PECVD)、スパッタリング、物理気相成長(PVD)
、熱成長等によって形成することができ、たとえば、約2000Å−5000Å
の範囲の厚さであってよい。
【0030】 Kが約4以下である、さまざまな低K誘電体材料から、低K誘電体層700を
形成することができる。例には、アプライドマテリアル(Applied Material)社
のブラックダイヤモンド(R)(Black Diamond)、ノベラス(Novellus)社の
コーラル(R)(Coral)、アライドシグナル(Allied Signal)社のナノグラス
(R)(Nanoglass)、JSR社のLKD5104等が含まれる。一実施例では
、低K誘電体層700は、約2000Å−6000Åの範囲の厚さである、メチ
レンシリコンハイドロオキサイドからなり、より高いスループットを目指し、L
PCVDプロセスによるブランケット堆積によって形成される。
【0031】 図8が示すように、低K誘電体層700はCMP技術を用いて平坦化され、平
坦化された低K誘電体層810が形成される。平坦化により、平坦化された低K
誘電体層810は、Cu相互接続645に隣接してかつESL110の上に残り
、Cu相互接続層800を形成する。Cu相互接続層800は、平坦化された低
K誘電体層810に隣接する、Cu相互接続645を含むことができる。Cu相
互接続層800は、さらにESL110を含んでもよい。図8が示すように、C
u相互接続層800は、さらに、平坦化された低K誘電体層810上とCu相互
接続645の少なくとも一部上とに形成されてパターニングされた、ESL82
0(「ハードマスク」としても公知であり、典型的には、窒化シリコン、Si3
4、または短縮してSiNから形成される)を含んでもよい。
【0032】 図9が示すように、Cu相互接続層800は、Cu相互接続層900の下にあ
る構造層(構造100と同様)であってよい。Cu相互接続層900は、平坦化
された低K誘電体層905と925とにそれぞれ隣接した、Cu充填トレンチ9
40と金属間ビア接続910とを含んでよい。金属間ビア接続910は、第1の
Cu構造140と同様のCu構造であってよく、金属間ビア接続910は、Cu
相互接続645(図6)の形成に関連した、圧迫されず応力を受けない、上述の
アニールと同様の方法で、第2のCu構造440までアニールされ得る。Cu相
互接続層900は、さらに、平坦化された低K誘電体層925および/または9
05上にそれぞれ形成されてパターニングされた、ESL820および/または
ESL915および/またはESL920(「ハードマスク」として公知の、典
型的に、窒化シリコン、Si34、または短縮してSiNから形成される)を含
んでもよい。ESL920は、さらに、Cu充填トレンチ940の少なくとも一
部上に形成されてもよい。
【0033】 図10が示すように、MOSトランジスタ1010は、Cu相互接続層100
0の下にある構造層(構造100と同様)であってよい。Cu相互接続層100
0は、平坦化された低K誘電体層1040に隣接する、Cu充填トレンチ102
0と銅の金属間ビア接続1030とを含んでよい。銅の金属間ビア接続1030
は、第1のCu構造140と同様のCu構造であってよく、銅の金属間ビア接続
1030は、Cu相互接続645(図6)の形成に関連する、圧迫されず応力を
受けない、上述のアニールと同様の方法で、第2のCu構造1020までアニー
ルされ得る。
【0034】 図11が示すように、半導体基板等の構造1100上に、第1の誘電体層11
05と第1の銅構造1125(銅の金属間ビア接続等)とを形成することができ
る。しかしながら、この発明は、たとえば、シリコンウェハ等の半導体基板の表
面上に、Cuベースの相互接続を形成することに限定されない。むしろ、この開
示を完全に読むと、当業者にとっては明らかであるように、この発明に従って形
成されたCuベースの相互接続は、前に形成された半導体素子および/またはプ
ロセス層、たとえば、トランジスタ、または他の同様の構造上に形成されてよい
。実際に、この発明を用いて、前に形成したプロセス層の上にプロセス層を形成
することができる。構造1100は、シリコン基板またはウェハ等の半導体材料
の下層であってよく、または、代替的に、金属酸化物半導体電界効果トランジス
タ(MOSFET)の層等の半導体素子の下層(図20等を参照)であってよく
、および/または1つもしくは複数の金属相互接続層(図19等を参照)および
/または1つもしくは複数の層間誘電体(ILD)層等であってよい。
【0035】 図11−図18が示すように、この発明のさまざまな実施例に従った、デュア
ルダマシン銅プロセスフローでは、第1の誘電体層1105上と第1の銅構造1
125上とに、第1の犠牲誘電体層1120を形成する。第1の犠牲誘電体層1
120上と第1のエッチングストップ層(ESL)1110(「ハードマスク」
としても公知の、典型的には、窒化シリコン、Si34、または短縮してSiN
から形成される)上とに、第2の犠牲誘電体層1130を形成する。図12に関
連して、以下により詳細に説明するように、第1のESL1110と第2のES
L1115とは、デュアルダマシン銅プロセスフローにおいて形成される、銅相
互接続の下の(ビア)部分を規定する。第1の犠牲誘電体層1120に接して、
第1の誘電体層1105と第1の犠牲誘電体層1120との間に、第1のESL
1110を形成してパターニングする。同様に、第1の犠牲誘電体層1120上
の、第1の犠牲誘電体層1120と第2の犠牲誘電体層1130との間に、第2
のESL1115(これも典型的にはSiNから形成される)を形成してパター
ニングする。必要であれば、化学的機械的平坦化(CMP)を行なって第2の犠
牲誘電体層1130を平坦化することができる。
【0036】 さまざまな「低誘電率」または「低K」(Kは約4以下である)誘電体材料か
ら、第1の誘電体層1105を形成することができる。第1の低K誘電体層11
05は、このような層を形成するためのさまざまな公知の技術、たとえば、化学
気相成長(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PEC
VD)、スパッタリング、物理気相成長(PVD)、スピンオングラス等によっ
て形成されてよく、たとえば、約1000Å−5000Åの範囲の厚さであって
よい。
【0037】 Kが約4以下である、さまざまな低K誘電体材料から、第1の低K誘電体層1
105を形成することができる。例には、アプライドマテリアル(Applied Mate
rial)社のブラックダイヤモンド(R)(Black Diamond)、ノベラス(Novellu
s)社のコーラル(R)(Coral)、アライドシグナル(Allied Signal)社のナ
ノグラス(R)(Nanoglass)、JSR社のLKD5104等が含まれる。一実
施例では、第1の低K誘電体層1105は、約2500Åの厚さである、メチレ
ンシリコンハイドロオキサイドからなり、より高いスループットを目指し、プラ
ズマ増速CVD(PECVD)プロセスによるブランケット堆積によって形成さ
れる。
【0038】 第1の犠牲誘電体層1120と第2の犠牲誘電体層1130とをさまざまな誘
電体材料から形成することができ、その一方または両方は、たとえば、酸化物(
Ge酸化物等)、オキシナイトライド(GaPオキシナイトライド等)、二酸化
シリコン(SiO2)、窒素含有酸化物(窒素含有SiO2等)、窒素でドープさ
れた酸化物(N2が注入されたSiO2等)、シリコンオシキナイトライド(Si xyz)等であってよい。第1の犠牲誘電体層1120と第2の犠牲誘電体層
1130とは、Kが約8以上である、任意の適切な「高誘電率」または「高K」
材料、たとえば、酸化チタン(TiO2等のTixy)、酸化タンタル(Ta2 5 等のTaxy)、バリウムストロンチウムチタン酸塩(BST,BaTiO3
SrTiO3)等から形成することもできる。
【0039】 第1の犠牲誘電体層1120と第2の犠牲誘電体層1130とは、このような
層を形成するためのさまざまな公知の技術、たとえば、化学気相成長(CVD)
、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリ
ング、物理気相成長(PVD)、熱成長等によって形成され得る。第1の犠牲誘
電体層1120と第2の犠牲誘電体層1130との各々は、約1000−250
0Åの範囲の厚さであってよい。一実施例では、第1の犠牲誘電体層1120と
第2の犠牲誘電体層1130との各々は、約1000Åの厚さの二酸化シリコン
(SiO2)からなり、より高いスループットを目指し、LPCVDプロセスに
よるブランケット堆積によって形成される。
【0040】 図12が示すように、パターニングされたフォトマスク1150(図11−図
12)とフォトリソグラフィとを用いて、次に、金属被覆パターンを形成する。
たとえば、導体金属線、コンタクトホール、ビアホール等のための、ビア122
0およびトレンチ1230等の第1および第2の開口を、それぞれ第1の犠牲誘
電体層1120と第2の犠牲誘電体層1130との内までエッチングする(図1
2)。第1の開口1220および第2の開口1230は、たとえば、臭化水素(
HBr)とアルゴン(Ar)とをエッチャントガスとして用いる、反応性イオン
エッチング(RIE)プロセス等の、さまざまな公知の異方性エッチング技術を
用いることにより、形成され得る。代わりに、たとえば、CHF3とArとをエ
ッチャントガスとするRIEプロセスを用いてよい。さまざまな実施例において
ドライエッチングを用いてもよい。
【0041】 図13が示すように、その後、パターニングしたフォトマスク1150を剥が
し、タンタル(Ta)からなる薄いバリア金属層1325Aと銅シード層132
5Bとを気相成長を用いて表面全体に適用する(図13)。図13が示すように
、Taからなるバリア金属層1325AとCuシード層1325Bとは、第2の
犠牲誘電体層1130の上表面1330の全体に加え、第1の開口1220と第
2の開口1230との側面1340と底1350との表面をブランケット堆積し
、導体表面1335を形成する。
【0042】 タンタルまたは窒化タンタル等のバリア金属材料からなる少なくとも1つの層
から、バリア金属層1325Aを形成してよい。たとえば、窒化チタン、チタン
−タングステン、窒化チタン−タングステン、マグネシウム、または他の適切な
バリア金属から、バリア金属層1325Aを等価に形成することができる。銅シ
ード層1325Bは、たとえば、物理気相成長(PVD)または化学気相成長(
CVD)によって、1つ以上のバリア金属層1325Aの上に形成されてよい。
【0043】 銅バルクのトレンチ充填は、電気めっき技術を用いて行なわれることが多く、
導体表面1335を電極に機械的にクランプして電気的接触を確立し、その後、
Cuイオンを含む電解液に構造1100を浸す。次に、ウェハ電解システムを介
して電流を流し、導体表面1335上にCuの還元と堆積とを生じさせる。さら
に、ウェハ電解システムの交流バイアスは、高密度プラズマ(HDP)テトラエ
チルオルトシリケート(TEOS)誘電体堆積において用いられる、堆積−エッ
チングの循環と同様に、堆積されたCu膜を自己平坦化する方法と考えられてき
た。
【0044】 図14が示すように、このプロセスは典型的に、導体表面1335の全体にわ
たって実質的に一定の厚さである、Cu1440のコンフォーマルコーティング
を形成する。図15が示すように、Cu1440の十分に厚い層が堆積されると
、Cu1440の層はCMP技術を用いて平坦化される。CMPを用いた平坦化
により、第2の犠牲誘電体層1130の上表面1330の全体から、CuとTa
バリア金属とのすべてが取り除かれ、図15が示すように、Cu1440は、1
つ以上のバリア金属層1325Aと銅シード層1325B(図13および図14
)とのそれぞれ残存する部分1525Aと1525Bとに隣接して、Cu充填ト
レンチおよびビア1545内にのみ残る。
【0045】 図16が示すように、第1の犠牲誘電体層1120および第2の犠牲誘電体層
1130、ならびに第2のエッチングストップ層(ESL)1115を、たとえ
ば、ウェットエッチングを用いて除去することができ、Cu相互接続1645が
残される。ウェットエッチングは第1のエッチングストップ層(ESL)111
0で止まる。さまざまな代替的実施例において、ドライエッチングおよび/また
はプラズマエッチングを用いることもできる。第1の犠牲誘電体層1120およ
び第2の犠牲誘電体層1130、ならびに第2のESL1115を、たとえば、
熱リン酸(H3PO4)で剥がすことによって選択的に除去することもできる。
【0046】 Cu相互接続1645は、1つ以上のバリア金属層1325Aと銅シード層1
325B(図13および図14)との残存する部分1525Aと1525Bと隣
接するCu1440を、第1の銅構造1125までアニールすることによって形
成され得る。アニールは、約200℃−400℃の範囲の温度で、約10−30
分の範囲の時間、分子窒素(N2)含有環境下で従来の管の炉において行なうこ
とができる。代わりに、アニールは、約200℃−400℃の範囲の温度で、約
1−60秒の範囲の時間、分子窒素(N2)含有環境下で行なわれる、高速熱ア
ニール(RTA)プロセスであってよい。
【0047】 アニールの前に第1の犠牲誘電体層1120と第2の犠牲誘電体層1130と
を除去することにより、第2のCu構造1440が第1の犠牲誘電体層1120
と第2の犠牲誘電体層1130とによって圧迫されず、応力を受けないまま、第
1の銅構造1125と第2の銅構造1440とを共にアニールすることによって
Cu相互接続1645を形成することができる。このことにより、Cu相互接続
1645の形成後のCuエレクトロマイグレーションをさらに減少させることが
できる。
【0048】 図17が示すように、「低誘電率」または「低K」(Kは約4以下である)誘
電体層1700を、Cu相互接続1645に隣接してかつ第1のESL1110
上に形成することができる。低K誘電体層1700は、このような層を形成する
ためのさまざまな公知の技術、たとえば、化学気相成長(CVD)、低圧CVD
(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気
相成長(PVD)、熱成長等によって形成することができ、たとえば、約200
0Å−5000Åの範囲の厚さであってよい。
【0049】 Kが約4以下である、さまざまな低K誘電体材料から、低K誘電体層1700
を形成することができる。例には、アプライドマテリアル(Applied Material)
社のブラックダイヤモンド(R)(Black Diamond)、ノベラス(Novellus)社
のコーラル(R)(Coral)、アライドシグナル(Allied Signal)社のナノグラ
ス(R)(Nanoglass)、JSR社のLKD5104等が含まれる。一実施例で
は、低K誘電体層1700は、約2000Å−6000Åの範囲の厚さである、
メチレンシリコンハイドロオキサイドからなり、より高いスループットを目指し
、LPCVDプロセスによるブランケット堆積によって形成される。
【0050】 図18が示すように、低K誘電体層1700はCMP技術を用いて平坦化され
、平坦化された低K誘電体層1810が形成される。平坦化により、平坦化され
た低K誘電体層1810は、Cu相互接続1645に隣接してかつ第1のESL
1110上に残り、Cu相互接続層1800を形成する。Cu相互接続層180
0は、平坦化された低K誘電体層1810に隣接するCu相互接続1645を含
んでもよい。Cu相互接続層1800は、さらに、第1のESL1110を含む
こともできる。図18が示すように、Cu相互接続層1800は、さらに、平坦
化された低K誘電体層1810上とCu相互接続1645の少なくとも一部上と
に形成されてパターニングされた、第3のESL1820(「ハードマスク」と
しても公知であり、典型的には、窒化シリコン、Si34、または省略してSi
Nから形成される)を含んでもよい。
【0051】 図19が示すように、Cu相互接続層1800は、Cu相互接続層1900の
下にある構造層(構造1100と同様)であってよい。さまざまな実施例におい
て、Cu相互接続層1900は、平坦化された低K誘電体層1905に隣接した
Cu充填トレンチ1940と、平坦化された低K誘電体層1925に隣接した金
属間ビア接続1910と、低K誘電体層1905と1925との間の第4のES
L915とを含むことができる。金属間ビア接続1910は、第1のCu構造1
125と同様のCu構造であってよく、金属間ビア接続1910は、Cu相互接
続645(図6)の形成に関連した、圧迫されず応力を受けない、上述のアニー
ルと同様の方法で、第2のCu構造1940までアニールされ得る。Cu相互接
続層1900は、平坦化された低K誘電体層1905の上とCu充填トレンチ1
940の少なくとも一部上とに形成されパターニングされた、第3のESL18
20および/または第5のESL1920を含むこともできる。
【0052】 さまざまな代替的実施例において、Cu相互接続層1900はCu相互接続層
1800と同様であってよく、Cu相互接続層1900は、たとえば、Cu相互
接続1645と同様の、Cu相互接続(図示せず)が中に配置される。Cu相互
接続層1900内に配置されたCu相互接続は、Cu相互接続1645の形成(
図16)に関する、圧迫されず応力を受けない、上述のアニールと同様の方法で
、Cu相互接続層1800内に配置されたCu相互接続1645までアニールさ
れ得る。
【0053】 図20が示すように、MOSトランジスタ2010は、Cu相互接続層100
0の下にある構造層(構造1100と同様)であってよい。Cu相互接続層10
00は、平坦化された低K誘電体層2040に隣接する、Cu充填トレンチおよ
びビア2020を含んでよい。Cu充填トレンチおよびビア2020は、Cu相
互接続1645(図16)の形成に関する、圧迫されず応力を受けない、上述の
アニールと同様の方法で、MOSトランジスタ2010までアニールされ得る。
【0054】 図11−図18が示すような、この発明のさまざまな実施例に従ったデュアル
ダマシン銅プロセスフローは、バリア金属層およびCuシード層の形成前、なら
びにCuによるトレンチ充填の前に、より複雑なパターンをエッチングすること
により、金属間ビア接続の形成とCuによるトレンチ充填とを組合せる。トレン
チのエッチングは、ビアホール(図12の第1の開口1220等)が完全にエッ
チングされるまで続く。図13−図18が示すような、この発明のさまざまな実
施例に従った、デュアルダマシン銅プロセスフローのその他の部分は、図3−図
8が示すような、この発明のさまざまな実施例に従った、対応する1回のダマシ
ン銅プロセスフローと本質的に同じである。しかしながら、全体的に、この発明
のさまざまな実施例に従った、デュアルダマシン銅プロセスフローは、処理工程
の数を著しく減らし、Cu金属被覆を達成する好ましい方法である。
【0055】 銅相互接続を形成する方法の、上に開示されたどの実施例も、従来のダマシン
技術を用いながら、従来のダマシン技術で典型的に用いられる従来の低K材料に
比べ、はるかに丈夫な犠牲誘電体材料を用いて銅相互接続を形成することができ
る。従来のダマシン技術におけるエッチングおよびその後の処理工程の間、犠牲
誘電体材料は従来の低K材料に比べ、はるかに損傷を受けにくい。銅相互接続を
形成した後に犠牲誘電体層を除去し、次に、この銅相互接続に隣接する低K誘電
体層を形成することにより、隣接する銅相互接続間のキャパシタンスとRC遅延
とを、低K誘電体層を用いることによって減少させるという利点のすべてを保持
し、しかも、従来のダマシン処理の間に、低K誘電体を用いた銅相互接続を極め
て容易に形成することができる。
【0056】 上に開示された特定の実施例は例示のみであり、この教示の恩恵を受ける当業
者にとっては明らかである、異なるが等価の方法によって、この発明を変更し、
実施することができる。さらに、前掲の請求項で説明される以外はここに示され
る構成または設計の詳細にいかなる限定も意図されない。したがって、上に開示
された特定の実施例を変形または変更することができ、すべてこのような変形は
この発明の範囲および精神の中にあると考えられることは明らかである。したが
って、ここで求められる保護は、前掲の請求項に示されるものによる。
【図面の簡単な説明】
【図1】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図2】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図3】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図4】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図5】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図6】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図7】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図8】 この発明の様々な実施例に従った、一回のダマシンによる銅相互
接続のプロセスフローを概略的に示す図である。
【図9】 この発明の様々な実施例に従った、多重層の銅相互接続を概略的
に示す図である。
【図10】 この発明の様々な実施例に従った銅相互接続が、MOSトラン
ジスタのソース/ドレイン領域と接続するのを概略的に示す図である。
【図11】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図12】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図13】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図14】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図15】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図16】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図17】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図18】 この発明の様々な実施例に従った、デュアルダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図19】 この発明の様々な実施例に従った、多重層の銅相互接続を概略
的に示す図である。
【図20】 この発明の様々な実施例に従った銅相互接続が、MOSトラ
ンジスタのソース/ドレイン領域と接続するのを概略的に示す図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成14年2月6日(2002.2.6)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (72)発明者 パーク,スティーブン・キータイ アメリカ合衆国、78733 テキサス州、オ ースティン、シクル・ビュウ・ドライブ、 10043 Fターム(参考) 5F033 HH11 JJ01 JJ11 JJ21 JJ23 JJ32 JJ33 KK11 MM01 MM02 NN06 NN07 PP06 PP14 PP27 QQ09 QQ13 QQ16 QQ25 QQ28 QQ48 QQ73 RR03 RR04 RR06 RR08 RR09 SS08 SS13 SS15 XX05 XX33

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 銅相互接続(1645)を形成する方法であって、 構造層(1100)上に第1の誘電体層(1105)を形成するステップと、 前記第1の誘電体層(1105)内に第1の銅構造(1125)を形成するス
    テップと、 前記第1の誘電体層(1105)上と前記第1の銅構造(1125)上とに犠
    牲誘電体層(1120、1130)を形成するステップと、 前記犠牲誘電体層(1120、1130)内の、前記第1の銅構造(1125
    )の少なくとも一部上に開口(1220、1230)を形成するステップと、 前記開口(1220、1230)内に第2の銅構造(1545)を形成するス
    テップとを含み、前記第2の銅構造(1545)は、前記第1の銅構造(112
    5)の前記少なくとも一部と接触し、前記方法はさらに、 前記第1の誘電体層(1105)上のかつ前記第2の銅構造(1545)に隣
    接する前記犠牲誘電体層(1120、1130)を除去するステップと、 前記第2の銅構造(1545)と前記第1の銅構造(1125)とをアニール
    することによって前記銅相互接続(1645)を形成するステップとを含む、方
    法。
  2. 【請求項2】 前記第1の誘電体層(1105)上にかつ前記銅相互接続(
    1645)に隣接して、第2の誘電体層(1700)を形成するステップをさら
    に含む、請求項1に記載の方法。
  3. 【請求項3】 前記第2の誘電体層(1700)を平坦化する(1810)
    ステップをさらに含み、前記第2の誘電体層(1700)を形成するステップは
    、多くて約4の誘電率Kを有する、低誘電率(低K)誘電体材料からなる前記第
    2の誘電体層(1700)を形成するステップを含む、請求項2に記載の方法。
  4. 【請求項4】 前記第1の誘電体層(1105)を形成するステップは、多
    くて約4の誘電率Kを有する、低誘電率(低K)誘電体材料からなる前記第1の
    誘電体層(1105)を形成するステップと、化学気相成長(CVD)、低圧C
    VD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物
    理気相成長(PVD)、およびスピンオングラスのうちの1つを用いて、前記第
    1の誘電体層(1105)を形成するステップとを含む、請求項1に記載の方法
  5. 【請求項5】 前記犠牲誘電体層(1120、1130)を形成するステッ
    プは、酸化物、オキシナイトライド、二酸化シリコン、窒素含有酸化物、窒素で
    ドープされた酸化物、シリコンオキシナイトライド、Kが少なくとも約8である
    、高誘電率(高K)の酸化チタン、酸化タンタル、およびバリウムストロンチウ
    ムチタン酸塩のうちの1つからなる前記犠牲誘電体層(1120、1130)を
    形成するステップと、化学気相成長(CVD)、低圧CVD(LPCVD)、プ
    ラズマ増速CVD(PECVD)、スパッタリング、物理気相成長(PVD)、
    および熱成長のうちの1つを用いて、前記犠牲誘電体層(1120、1130)
    を形成するステップとを含む、請求項1に記載の方法。
  6. 【請求項6】 銅相互接続(645)を形成する方法であって、 構造層(100)上に第1の誘電体層(120)を形成するステップと、 前記第1の誘電体層(120)内に第1の銅構造(140)を形成するステッ
    プと、 前記第1の誘電体層(120)上と前記第1の銅構造(140)上とに犠牲誘
    電体層(130)を形成するステップと、 前記犠牲誘電体層(130)内の、前記第1の銅構造(140)の少なくとも
    一部上に開口(220)を形成するステップと、 前記犠牲誘電体層(130)上にかつ前記開口(220)の中に、銅の層(4
    40)を形成するステップとを含み、前記銅の層(440)は、前記第1の銅構
    造(140)の前記少なくとも一部と接触し、前記方法はさらに、 前記犠牲誘電体層(130)上の前記銅の層(440)の一部を除去すること
    によって第2の銅構造(545)を形成するステップを含み、前記第2の銅構造
    (545)を前記開口(220)内に置き、前記方法はさらに、 前記第1の誘電体層(120)上のかつ前記第2の銅構造(545)に隣接す
    る、前記犠牲誘電体層(130)を除去するステップと、 前記第1の銅構造(140)と前記第2の銅構造(545)とをアニールする
    ことによって前記銅相互接続(645)を形成するステップとを含む、方法。
  7. 【請求項7】 前記第1の誘電体層(120)上にかつ前記銅相互接続(6
    45)に隣接して、第2の誘電体層(700)を形成するステップをさらに含む
    、請求項6に記載の方法。
  8. 【請求項8】 前記第2の誘電体層(700)を平坦化する(810)ステ
    ップをさらに含み、前記第2の誘電体層(700)を形成するステップは、多く
    て約4の誘電率Kを有する、低誘電率(低K)誘電体材料からなる、前記第2の
    誘電体層(700)を形成するステップを含む、請求項7に記載の方法。
  9. 【請求項9】 前記犠牲誘電体層(130)を形成するステップは、酸化物
    、オキシナイトライド、二酸化シリコン、窒素含有酸化物、窒素でドープされた
    酸化物、シリコンオキシナイトライド、Kが少なくとも約8である、高誘電率(
    高K)の、酸化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸
    塩のうちの1つからなる前記犠牲誘電体層(130)を形成するステップと、化
    学気相成長(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PE
    CVD)、スパッタリング、物理気相成長(PVD)、および熱成長のうちの1
    つを用いて前記犠牲誘電体層(130)を形成するステップとを含み、前記銅の
    層(440)を形成するステップは、銅の電気化学的堆積を用いて前記銅の層(
    440)を形成するステップと、前記銅の前記電気化学的堆積の前に、前記開口
    (220)内に少なくとも1つのバリア層(325A)と銅シード層(325B
    )とを形成するステップとを含み、前記銅の層(440)の一部を除去するステ
    ップは、前記銅の前記電気化学的堆積の後で、化学的機械的研磨を用いて前記銅
    を平坦化するステップを含む、請求項6に記載の方法。
  10. 【請求項10】 銅相互接続(1645)を形成する方法であって、 構造層(1100)上に第1の誘電体層(1105)を形成するステップと、 前記第1の誘電体層(1105)内に銅のビア(1125)を形成するステッ
    プと、 前記第1の誘電体層(1105)上と前記銅のビア(1125)上とに犠牲誘
    電体層(1120、1130)を形成するステップと、 前記犠牲誘電体層(1120、1130)内の、前記銅のビア(1125)の
    少なくとも一部上に、開口(1220、1230)を形成するステップと、 前記開口(1220、1230)内に銅線(1545)を形成するステップと
    を含み、前記銅線(1545)は、前記銅のビア(1125)の前記少なくとも
    一部と接触し、前記方法はさらに、 前記第1の誘電体層(1105)上のかつ前記銅線(1545)に隣接する、
    前記犠牲誘電体層(1120、1130)を除去するステップと、 前記銅線(1545)と前記銅のビア(1125)とをアニールすることによ
    って、前記銅相互接続(1645)を形成するステップと、 前記第1の誘電体層(1105)上にかつ前記銅相互接続(1645)に隣接
    して、第2の誘電体層(1700)を形成するステップと、 前記第2の誘電体層(1700)を平坦化する(1810)ステップとを含み
    、前記第2の誘電体層(1700)を形成するステップは、多くて約4の誘電率
    Kを有する、低誘電率(低K)誘電体材料からなる前記第2の誘電体層(170
    0)を形成するステップを含み、前記方法はさらに、 前記平坦化された第2の誘電体層(1810)上のマスク層(1820)を形
    成してパターニングし、前記銅相互接続(1645)の少なくとも一部上にマス
    ク層開口を形成するステップを含み、前記第1の誘電体層(1105)を形成す
    るステップは、多くて約4の誘電率Kを有する、低誘電率(低K)誘電体材料か
    らなる前記第1の誘電体層(1105)を形成するステップと、化学気相成長(
    CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、ス
    パッタリング、物理気相成長(PVD)、およびスピンオングラスのうちの1つ
    を用いて前記第1の誘電体層(1105)を形成するステップとを含み、前記犠
    牲誘電体層(1120、1130)を形成するステップは、酸化物、オキシナイ
    トライド、二酸化シリコン、窒素含有酸化物、窒素でドープされた酸化物、シリ
    コンオキシナイトライド、Kが少なくとも約8である、高誘電率(高K)の、酸
    化チタン、酸化タンタル、およびバリウムストロンチウムチタン酸塩のうちの1
    つからなる前記犠牲誘電体層(1120、1130)を形成するステップと、化
    学気相成長(CVD)、低圧CVD(LPCVD)、プラズマ増速CVD(PE
    CVD)、スパッタリング、物理気相成長(PVD)、および熱成長のうちの1
    つを用いて前記犠牲誘電体層(1120、1130)形成するステップとを含み
    、前記犠牲誘電体層(1120、1130)内に前記開口(1220、1230
    )を形成するステップは、フォトレジストのマスク(1150)とエッチングス
    トップ層(1115)とのうちの少なくとも1つを用いて、前記犠牲誘電体層(
    1120、1130)内に前記開口(1220、1230)を形成するステップ
    を含み、前記フォトレジストのマスク(1150)と前記エッチングストップ層
    (1115)とのうちの前記少なくとも1つは、前記犠牲誘電体層(1120、
    1130)上で形成されパターニングされ、前記銅線(1545)を形成するス
    テップは、銅の電気化学的堆積を用いて前記銅線(1545)を形成するステッ
    プと、前記銅の前記電気化学的堆積の前に、前記開口(1220、1230)内
    に少なくとも1つのバリア層(1325A)と銅シード層(1325B)とを形
    成するステップと、前記銅の前記電気化学的堆積の後で、化学的機械的研磨を用
    いて前記銅を平坦化するステップとを含む、方法。
JP2001555133A 2000-01-28 2000-09-20 半導体素子に銅相互接続を形成する方法 Pending JP2003521123A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/493,320 US6303486B1 (en) 2000-01-28 2000-01-28 Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal
US09/493,320 2000-01-28
PCT/US2000/025679 WO2001056077A1 (en) 2000-01-28 2000-09-20 Method of fabricating copper interconnections in semiconductor devices

Publications (2)

Publication Number Publication Date
JP2003521123A true JP2003521123A (ja) 2003-07-08
JP2003521123A5 JP2003521123A5 (ja) 2007-10-25

Family

ID=23959740

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001555133A Pending JP2003521123A (ja) 2000-01-28 2000-09-20 半導体素子に銅相互接続を形成する方法

Country Status (5)

Country Link
US (1) US6303486B1 (ja)
EP (1) EP1250715A1 (ja)
JP (1) JP2003521123A (ja)
KR (1) KR100670227B1 (ja)
WO (1) WO2001056077A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005150681A (ja) * 2003-11-11 2005-06-09 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
WO2012001978A1 (ja) * 2010-07-01 2012-01-05 パナソニック株式会社 不揮発性記憶素子及びその製造方法
JPWO2012140887A1 (ja) * 2011-04-14 2014-07-28 パナソニック株式会社 不揮発性記憶素子およびその製造方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6332900B1 (en) * 1999-02-08 2001-12-25 Wilson Greatbatch Ltd. Physical vapor deposited electrode component and method of manufacture
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6858937B2 (en) * 2000-03-02 2005-02-22 Micron Technology, Inc. Backend metallization method and device obtained therefrom
US6373135B1 (en) * 2000-09-14 2002-04-16 Infineon Technologies Ag Semiconductor structure and method of fabrication
US6635564B1 (en) * 2000-09-14 2003-10-21 Infineon Technologies Ag Semiconductor structure and method of fabrication including forming aluminum columns
US6429118B1 (en) * 2000-09-18 2002-08-06 Taiwan Semiconductor Manufacturing Company Elimination of electrochemical deposition copper line damage for damascene processing
US6689684B1 (en) * 2001-02-15 2004-02-10 Advanced Micro Devices, Inc. Cu damascene interconnections using barrier/capping layer
US6506668B1 (en) * 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6686273B2 (en) * 2001-09-26 2004-02-03 Sharp Laboratories Of America, Inc. Method of fabricating copper interconnects with very low-k inter-level insulator
US20080121343A1 (en) * 2003-12-31 2008-05-29 Microfabrica Inc. Electrochemical Fabrication Methods Incorporating Dielectric Materials and/or Using Dielectric Substrates
US20050202667A1 (en) * 2001-12-03 2005-09-15 University Of Southern California Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US6706629B1 (en) 2003-01-07 2004-03-16 Taiwan Semiconductor Manufacturing Company Barrier-free copper interconnect
KR100539444B1 (ko) * 2003-07-11 2005-12-27 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
FR2859822B1 (fr) * 2003-09-16 2006-05-05 Commissariat Energie Atomique Structure d'interconnexion a faible constante dielectrique
US20050130407A1 (en) * 2003-12-12 2005-06-16 Jui-Neng Tu Dual damascene process for forming a multi-layer low-k dielectric interconnect
US7169659B2 (en) * 2004-08-31 2007-01-30 Texas Instruments Incorporated Method to selectively recess ETCH regions on a wafer surface using capoly as a mask
US7312146B2 (en) * 2004-09-21 2007-12-25 Applied Materials, Inc. Semiconductor device interconnect fabricating techniques
US7172936B2 (en) * 2004-09-24 2007-02-06 Texas Instruments Incorporated Method to selectively strain NMOS devices using a cap poly layer
US7129127B2 (en) * 2004-09-24 2006-10-31 Texas Instruments Incorporated Integration scheme to improve NMOS with poly cap while mitigating PMOS degradation
KR100613346B1 (ko) * 2004-12-15 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7468545B2 (en) * 2005-05-06 2008-12-23 Megica Corporation Post passivation structure for a semiconductor device and packaging process for same
US7582556B2 (en) * 2005-06-24 2009-09-01 Megica Corporation Circuitry component and method for forming the same
US7422975B2 (en) * 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US20070194450A1 (en) * 2006-02-21 2007-08-23 Tyberg Christy S BEOL compatible FET structure
US9613852B2 (en) * 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US10629478B2 (en) 2017-08-22 2020-04-21 International Business Machines Corporation Dual-damascene formation with dielectric spacer and thin liner
US10903111B2 (en) * 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
US11177170B2 (en) 2020-01-16 2021-11-16 International Business Machines Corporation Removal of barrier and liner layers from a bottom of a via
US11177166B2 (en) * 2020-04-17 2021-11-16 International Business Machines Corporation Etch stop layer removal for capacitance reduction in damascene top via integration

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11126820A (ja) * 1997-08-21 1999-05-11 Matsushita Electron Corp 半導体装置とその製造方法
WO1999054934A1 (en) * 1998-04-22 1999-10-28 Cvc Products, Inc. Ultra high-speed chip interconnect using free-space dielectrics
JP2003518325A (ja) * 1999-01-08 2003-06-03 ラム・リサーチ・コーポレーション ダマシンによるメタリゼーション層を形成するためのリソグラフィックな方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4810332A (en) * 1988-07-21 1989-03-07 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer copper interconnect
US5177567A (en) * 1991-07-19 1993-01-05 Energy Conversion Devices, Inc. Thin-film structure for chalcogenide electrical switching devices and process therefor
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5567982A (en) * 1994-09-30 1996-10-22 Bartelink; Dirk J. Air-dielectric transmission lines for integrated circuits
US5559055A (en) 1994-12-21 1996-09-24 Advanced Micro Devices, Inc. Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5789764A (en) * 1995-04-14 1998-08-04 Actel Corporation Antifuse with improved antifuse material
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6057224A (en) 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US5602053A (en) * 1996-04-08 1997-02-11 Chartered Semidconductor Manufacturing Pte, Ltd. Method of making a dual damascene antifuse structure
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US6124189A (en) * 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5989623A (en) 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US5969425A (en) * 1997-09-05 1999-10-19 Advanced Micro Devices, Inc. Borderless vias with CVD barrier layer
US6117760A (en) * 1997-11-12 2000-09-12 Advanced Micro Devices, Inc. Method of making a high density interconnect formation
US6147000A (en) * 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6057583A (en) * 1999-01-06 2000-05-02 Advanced Micro Devices, Inc. Transistor with low resistance metal source and drain vertically displaced from the channel
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6107188A (en) * 1999-08-16 2000-08-22 Taiwan Semiconductor Manufacturing Company Passivation method for copper process
US6110817A (en) * 1999-08-19 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for improvement of electromigration of copper by carbon doping

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11126820A (ja) * 1997-08-21 1999-05-11 Matsushita Electron Corp 半導体装置とその製造方法
WO1999054934A1 (en) * 1998-04-22 1999-10-28 Cvc Products, Inc. Ultra high-speed chip interconnect using free-space dielectrics
JP2002506577A (ja) * 1998-04-22 2002-02-26 シーブイシー プロダクツ、インコーポレイテッド 自由空間誘電体を用いた超高速チップの相互接続
JP2003518325A (ja) * 1999-01-08 2003-06-03 ラム・リサーチ・コーポレーション ダマシンによるメタリゼーション層を形成するためのリソグラフィックな方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005150681A (ja) * 2003-11-11 2005-06-09 Hynix Semiconductor Inc 半導体素子の金属配線形成方法
WO2012001978A1 (ja) * 2010-07-01 2012-01-05 パナソニック株式会社 不揮発性記憶素子及びその製造方法
JP5436669B2 (ja) * 2010-07-01 2014-03-05 パナソニック株式会社 不揮発性記憶素子及びその製造方法
US8785238B2 (en) 2010-07-01 2014-07-22 Panasonic Corporation Nonvolatile memory element and method for manufacturing same
JPWO2012140887A1 (ja) * 2011-04-14 2014-07-28 パナソニック株式会社 不揮発性記憶素子およびその製造方法
JP5636092B2 (ja) * 2011-04-14 2014-12-03 パナソニック株式会社 不揮発性記憶素子およびその製造方法
US8921200B2 (en) 2011-04-14 2014-12-30 Panasonic Corporation Nonvolatile storage element and method of manufacturing thereof

Also Published As

Publication number Publication date
EP1250715A1 (en) 2002-10-23
US6303486B1 (en) 2001-10-16
WO2001056077A1 (en) 2001-08-02
KR100670227B1 (ko) 2007-01-17
KR20020074216A (ko) 2002-09-28

Similar Documents

Publication Publication Date Title
JP2003521123A (ja) 半導体素子に銅相互接続を形成する方法
JP2003521124A (ja) 犠牲誘電体層を用いて銅相互接続を形成する方法
US6368967B1 (en) Method to control mechanical stress of copper interconnect line using post-plating copper anneal
US7132363B2 (en) Stabilizing fluorine etching of low-k materials
US6972254B1 (en) Manufacturing a conformal atomic liner layer in an integrated circuit interconnect
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
JP2003520448A (ja) エッチングされた低誘電率材料の孔を封じるための誘電体形成
US7348672B2 (en) Interconnects with improved reliability
KR100860133B1 (ko) 이온 주입에 의한 측벽 밀도의 국부적 증가
US7253097B2 (en) Integrated circuit system using dual damascene process
EP1330842B1 (en) Low temperature hillock suppression method in integrated circuit interconnects
US6465867B1 (en) Amorphous and gradated barrier layer for integrated circuit interconnects
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US6514844B1 (en) Sidewall treatment for low dielectric constant (low K) materials by ion implantation
US6583051B2 (en) Method of manufacturing an amorphized barrier layer for integrated circuit interconnects
US6881665B1 (en) Depth of focus (DOF) for trench-first-via-last (TFVL) damascene processing with hard mask and low viscosity photoresist
US20020127849A1 (en) Method of manufacturing dual damascene structure
US6649511B1 (en) Method of manufacturing a seed layer with annealed region for integrated circuit interconnects
US6462416B1 (en) Gradated barrier layer in integrated circuit interconnects
WO2002050895A2 (en) Controlled anneal conductors for integrated circuit interconnects
US6504251B1 (en) Heat/cold amorphized barrier layer for integrated circuit interconnects
KR20020078368A (ko) 무기 저유전막을 이용한 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070905

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110510

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110512

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111018