JP2003520448A - エッチングされた低誘電率材料の孔を封じるための誘電体形成 - Google Patents

エッチングされた低誘電率材料の孔を封じるための誘電体形成

Info

Publication number
JP2003520448A
JP2003520448A JP2001553580A JP2001553580A JP2003520448A JP 2003520448 A JP2003520448 A JP 2003520448A JP 2001553580 A JP2001553580 A JP 2001553580A JP 2001553580 A JP2001553580 A JP 2001553580A JP 2003520448 A JP2003520448 A JP 2003520448A
Authority
JP
Japan
Prior art keywords
dielectric layer
layer
dielectric
forming
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001553580A
Other languages
English (en)
Inventor
ベッサー,ポール・アール
スリカンテワラ,ダクシナ−マーシー
マーティン,ジェレミー・アイ
スミス,ジョナサン・ビィ
アペルグレン,エリック・エム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2003520448A publication Critical patent/JP2003520448A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 構造層(110)上に第1の誘電体層(130)を形成するステップと、第1の誘電体層(130)内に第1の開口(220)を形成するステップとを含む方法であって、第1の開口(220)は側壁を有する。この方法は、第1の開口(220)の側壁上に第2の誘電体層(430)を形成するステップをさらに含む。

Description

【発明の詳細な説明】
【0001】
【技術分野】
この発明は、一般に、半導体製造技術に関し、さらに特定的には、コンタクト
の開口およびビアを銅で充填し、銅の相互接続および線を形成するための技術に
関する。
【0002】
【背景技術】
半導体産業には、マイクロプロセッサ、メモリ素子等の集積回路素子の動作速
度を上げる要求が常にある。ますます高速で動作するコンピュータおよび電子装
置に対する消費者の需要が、この要求をかきたてている。より高速を求めるこの
需要により、トランジスタ等の半導体素子のサイズは絶えず縮小されてきた。す
なわち、典型的な電界効果トランジスタ(FET)の多くの構成要素、たとえば
、チャネル長、接合深さ、ゲート誘電体厚等が縮小される。たとえば、すべて他
の条件が等しければ、FETのチャネル長が小さくなるほど、トランジスタの動
作は速くなる。したがって、典型的なトランジスタの構成要素のサイズまたはス
ケールを縮小して、トランジスタだけでなく、このようなトランジスタを組込ん
だ集積回路素子の全体速度を常に上げようとしている。さらに、典型的なトラン
ジスタの構成要素のサイズまたはスケールを縮小することにより、所与の広さの
ウェハ実面積上に製造することのできるトランジスタの密度および数も上昇し、
トランジスタ1つ当りの総コストだけでなく、このようなトランジスタを組込ん
だ集積回路素子のコストも下げる。
【0003】 しかしながら、典型的なトランジスタの構成要素のサイズまたはスケールを縮
小するには、N+(P+)ソース/ドレイン領域、ドープされた多結晶シリコン(
ドープされたポリシリコンまたはドープされたポリ)ゲートコンダクタ等の活性
領域へのコンタクトへの電気的相互接続のサイズおよび断面寸法を縮小すること
も必要になる。電気的相互接続のサイズおよび断面寸法が小さくなるにつれ、抵
抗が増大して、エレクトロマイグレーションが増大する。増大した抵抗およびエ
レクトロマイグレーションは多くの理由により望ましいものではない。たとえば
、増大した抵抗は、素子駆動電流、および素子を介したソース/ドレイン電流を
減少させるおそれがあり、トランジスタ全体の速度および動作に悪影響を及ぼす
おそれもある。さらに、アルミニウム(Al)相互接続内でのエレクトロマイグ
レーションの影響により、電流が、電流とともにAl原子を実際に運び、原子の
エレクトロマイグレーションを引き起こし、Al相互接続の機能低下、さらには
抵抗の増大、ならびにAl相互接続の断線および/またはデラミネーションさえ
招くおそれがある。
【0004】 半導体回路のための理想的な相互接続導体とは、安価であり、パターニングが
容易であり、低い抵抗率を有し、腐食と、エレクトロマイグレーションと、スト
レスマイグレーションとに対し、高い耐性を有するものである。現代の半導体製
造プロセスにおいて、アルミニウム(Al)は、相互接続用に最も頻繁に用いら
れているが、その主な理由は、Alが安価であり、たとえば、銅(Cu)に比べ
てエッチングしやすいからである。しかしながら、Alが劣悪なエレクトロマイ
グレーション特性を有し、ストレスマイグレーションを極めて受けやすいために
、典型的には、Alと他の金属とで合金を作らなければならない。
【0005】 上で論じたように、半導体素子の形態が縮小し、クロック速度が上がるにつれ
、回路金属被覆の抵抗を減らすことが一段と望ましくなった。Alを相互接続に
用いることにより、大きく譲歩された基準の1つは、伝導率の基準である。なぜ
なら、より低い抵抗率(Alは20℃で2.824×10-6ohms−cmの抵
抗率を有する)を有する3つの金属、すなわち、1.59×10-6ohms−c
m(20℃)の抵抗率を有する銀(Ag)、1.73×10-6ohms−cm(
20℃)の抵抗率を有する銅(Cu)、および2.44×10-6ohms−cm
(20℃)の抵抗率を有する金(Au)は、他の重要な基準を満たさないからで
ある。たとえば、銀は比較的高価であり、腐食しやすく、金は非常に高価であり
、エッチングが難しい。銅は、銀とほぼ同じ抵抗率を有し、エレクトロマイグレ
ーションを免れ、高い延性(半導体チップにおいて、異なる材料の異なる膨張率
によって生じる機械的応力から大きく免れさせる)を与え、高融点(Alの65
9℃に対し、Cuは1083℃)を有し、驚くべきことにほとんどの基準を満た
す。しかしながら、Cuは半導体環境下でのエッチングが非常に難しい。Cuの
エッチングが難しいため、結果として、ビアおよび金属線を形成する代替的アプ
ローチを用いる必要がある。ダマシンのアプローチは、線およびビア用に、誘電
体内にトレンチ等の開口をエッチングし、埋込金属パターンを作ることから成っ
ており、サブ−0.25ミクロン(サブ−0.25μm)デザインルールのCu
金属被覆回路の製造に対する主流である。
【0006】 しかしながら、Cu相互接続のより低い抵抗とより高い伝導率とが、より高い
素子密度、すなわち、Cu相互接続間の距離の縮小と組合さると、Cu相互接続
間のキャパシタンスの増大を招くおそれがある。Cu相互接続間のキャパシタン
スの増大は、次いで、半導体素子回路でのRC時間遅延の増大とより長い過渡減
衰時間とを生じる結果となり、半導体素子の全体動作速度を下げてしまう。
【0007】 Cu相互接続間でキャパシタンスが増大する問題に対する従来の解決法の1つ
は、ダマシン技術を用いて、中にCu相互接続が形成される層間誘電体層(IL
D)に、「低誘電率」または「低K」の、Kが約4以下である誘電体材料を用い
ることである。しかしながら、低K誘電体材料は、ダマシン技術とともに用いる
のが難しい材料である。たとえば、低K誘電体材料は、ダマシン技術で用いられ
るエッチングおよび後の処理工程の間に損傷を受けて弱化しやすい。特に、低K
誘電体材料内に形成されたトレンチおよび/またはビア等の開口の側壁は、とり
わけ傷付きやすい。さらに、低K誘電体材料は多孔性であり、バリア金属層を堆
積するには、弱く、均一でない基板である。特に、エッチングおよびアッシング
(パターニングのために用いられたフォトレジストマスクを除去するため)の後
、多孔性の低K誘電体材料は、(多孔性の低K誘電体材料内にあった空気が一因
である)開孔を有することになり、脱ガスおよび表面粗さのために、バリア金属
層を上に堆積するべき基板には望ましくないものになる。
【0008】 この発明は、上述の問題の1つ以上を克服するか、少なくともその影響を減ず
ることに向けられる。
【0009】
【発明の開示】
この発明の一局面において、方法を提供する。この方法は、第1の構造層上に
第1の誘電体層を形成するステップと、第1の誘電体層内に第1の開口を形成す
るステップとを含み、第1の開口は側壁を有する。この方法は、第1の開口の側
壁上に第2の誘電体層を形成するステップを更に含む。
【0010】 添付の図面とともに以下の説明を参照することにより、この発明を理解するこ
とができる。図面において、参照番号の最も左の有効数字は、それぞれの参照番
号が現れる最初の図面を示す。
【0011】 この発明は、さまざまな変形および代替的形態が可能であるが、その特定の実
施例を図面で例として示し、ここに詳細を説明する。しかしながら、特定の実施
例の以下の説明は、開示された特定の形態にこの発明を限定するよう意図されず
、反対に、その意図は、前掲の請求項によって規定されるように、この発明の精
神および範囲内にあるすべての変形、等価物、および代替物を包含すべきである
と理解されるべきである。
【0012】
【この発明を実施するための態様】
この発明の実施例を以下に説明する。不明瞭にならないよう、この明細書中で
は実際の実現化例の特徴すべてを説明しない。どの実施例を開発する際にも、開
発者の特定の目標を達成するために、実現例に特有の判断を多数行なわなければ
ならないことは、当然ながら理解されるであろう。たとえば、実現例毎に異なる
、システム関連およびビジネス関連の制約と整合させる必要がある。さらに、こ
のような開発努力は複雑で時間を消費するものであるが、この開示の恩恵を受け
る当業者にとっては、所定の業務であることが理解されるであろう。
【0013】 この発明に従った、半導体素子を製造するための方法の実施例を図1−図20
に示す。半導体素子のさまざまな領域および構造は、極めて精密で鮮明な構成と
輪郭とを有するよう図面に示されているが、当業者は、実際には、これらの領域
および構造が図面で示されるほど精密ではないことを認める。しかしながら、添
付の図面はこの発明の実施例を提供するよう含まれている。
【0014】 一般に、この発明は半導体素子の製造に向けられる。この明細書を完全に読む
と、当業者にとっては容易に明らかであるように、この発明はさまざまな技術、
たとえば、NMOS、PMOS、CMOS等に適用することができ、論理素子、
メモリ素子等を含むさまざまな素子に対して容易に適用できるが、それに限定さ
れない。
【0015】 図1が示すように、半導体基板等の構造100上に、第1の誘電体層120と
第1の導体構造140(銅金属間ビア接続等)とを形成することができる。しか
しながら、この発明は、シリコンウェハ等の半導体基板の表面上に、Cuベース
の相互接続を形成することに限定されない。むしろ、この開示を完全に読むと、
当業者にとっては明らかであるように、この発明に従って形成されたCuベース
の相互接続は、前に形成された半導体素子および/またはプロセス層、たとえば
、トランジスタ、または他の同様の構造上に形成されてよい。実際に、この発明
を用いて、前に形成したプロセス層の上にプロセス層を形成することができる。
構造100は、シリコン基板またはウェハ等の半導体材料の下層であってよく、
または、代替的に、金属酸化物半導体電界効果トランジスタ(MOSFET)等
の層などの、半導体素子の下層(図10等を参照)であってよく、および/また
は1つもしくは複数の金属相互接続層(図9等を参照)および/または1つもし
くは複数の層間誘電体(ILD)層等であってよい。
【0016】 図1−図8が示すように、この発明のさまざまな実施例に従った、1回のダマ
シンによる銅プロセスフローでは、構造100上にかつ第1の導体構造140に
隣接して、第1の誘電体層120を形成する。第1の誘電体層120上と第1の
導体構造140上とに第2の誘電体層130を形成する。パターニングしたフォ
トマスク150を第2の誘電体層130上に形成する。第1の誘電体層120の
中に第1の導体構造140を配置する。第1の誘電体層120上に、第1の誘電
体層120と第2の誘電体層130との間にかつ第1の導体構造140に隣接し
て、エッチングストップ層(ESL)110(典型的には窒化シリコン、Si3
4、または短縮してSiN)を形成し、パターニングする。必要であれば、化
学的機械的平坦化(CMP)を行なって第2の誘電体層130を平坦化してもよ
い。第2の誘電体層130とパターニングされたフォトマスク150との間の、
第2の誘電体層130上に、エッチングストップ層160(これも典型的にはS
iN)を形成し、パターニングする。
【0017】 さまざまな「低誘電率」または「低K」(Kは約4以下である)誘電体材料か
ら、第1の誘電体層120および第2の誘電体層130を形成することができる
。低Kである、第1の誘電体層120および第2の誘電体層130は、このよう
な層を形成するためのさまざまな公知の技術、たとえば、化学気相成長成長(C
VD)、低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパ
ッタリング、物理気相成長(PVD)、スピンオングラス等によって形成されて
よく、各々は、たとえば、約3000Å−8000Åの範囲の厚さであってよい
【0018】 Kが約4以下である、さまざまな低K誘電体材料から、低Kである、第1の誘
電体層120および第2の誘電体層130を形成することができる。例には、ア
プライドマテリアル(Applied Material)社のブラックダイヤモンド(R)(Bl
ack Diamond)、ノベラス(Novellus)社のコーラル(R)(Coral)、アライド
シグナル(Allied Signal)社のナノグラス(R)(Nanoglass)、JSR社のL
KD5104等が含まれる。一実施例では、低Kである、第1の誘電体層120
および第2の誘電体層130の各々は、各々が約5000Åの厚さである、アプ
ライドマテリアル社のブラックダイヤモンド(R)からなり、より高いスループ
ットを目指し、LPCVDプロセスによるブランケット堆積によって各々が形成
される。
【0019】 次に、図2が示すように、パターニングしたフォトマスク150、エッチン
グストップ層160および110(図1−図2)、ならびにフォトリソグラフィ
を用いることにより、金属被覆パターンを形成する。たとえば、導体金属線、コ
ンタクトホール、ビアホール等のための開口(第1の導体構造140の少なくと
も一部上に形成された開口またはトレンチ220等)を、第2の誘電体層130
内までエッチングする(図2)。開口220は側壁230を有する。開口220
は、さまざまな公知の異方性エッチング技術、たとえば、臭化水素(HBr)と
アルゴン(Ar)とをエッチャントガスとして用いる、反応性イオンエッチング
(RIE)プロセス等を用いることにより、形成され得る。代わりに、たとえば
、CHF3とArとをエッチャントガスとするRIEプロセスを用いてよい。ド
ライエッチングをさまざまな実施例で用いることもできる。エッチングは、エッ
チングストップ層110と第1の導体構造140とで止めることができる。
【0020】 図3が示すように、たとえば、アッシングによって、パターニングしたフォト
マスク150(図1−図2)を剥がす。代わりに、たとえば、硫酸(H2SO4
と過酸化水素(H22)の1:1溶液を用い、パターニングしたフォトマスク1
50を剥がしてよい。アッシングまたはその他の態様による、開口220のエッ
チングおよびパターニングしたフォトマスク150(図1−図2)の除去により
、第2の誘電体層130の多孔性低K誘電体材料が、開口220の側壁230内
に開孔300を有するおそれがある。開口220の側壁230内の開孔300は
、第2の誘電体層130の多孔性低K誘電体材料内にあった空気が一因であるお
それがある。開口220の側壁230内の開孔300を被覆せずに置くと、脱ガ
スおよび表面粗さのために、バリア金属層を堆積するには望ましくない基板にな
るであろう。
【0021】 図4が示すように、開口220に隣接する誘電体層430により、開口220
の側壁230内の開孔300を被覆することができる。誘電体層430は、開口
220の側壁230内の開孔300を被覆し、および/または封じ、開口220
に隣接する誘電体層430の、より滑らかで、より安定した表面440を形成す
る。開口220に隣接する誘電体層430の、より滑らかで、より安定した表面
440は、後に形成した1つ以上のバリア金属層(図5を参照して、以下により
詳細を説明する、バリア金属層525A等)に対し、よりよい密着力をもたらす
【0022】 さまざまな実施例において、誘電体層430は、このような層を形成するため
のさまざまな公知の技術、たとえば、化学気相成長(CVD)、低圧CVD(L
PCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気相成
長(PVD)、熱成長、スピンオングラス等によって形成され得る。誘電体層4
30は、約50−500Åの範囲の厚さであってよい。一実施例において、誘電
体層430は、約100Åの厚さの二酸化シリコン(SiO2)からなり、より
高いスループットを目指し、LPCVDプロセスによるブランケット堆積によっ
て形成される。
【0023】 誘電体層430をさまざまな誘電体材料から形成することができ、たとえば、
酸化物(Ge酸化物等)、オキシナイトライド(GaPオキシナイトライド等)
、二酸化シリコン(SiO2)、窒素含有酸化物(窒素含有SiO2等)、窒素で
ドープされた酸化物(N2が注入されたSiO2等)、シリコンオシキナイトライ
ド(Sixyz)等であってよい。誘電体層430は、Kが約8以上である「
高誘電率」または「高K」の、任意の適切な材料、たとえば、酸化チタン(Ti
2等のTixy)、酸化タンタル(Ta25等のTaxy)、バリウムストロ
ンチウムチタン酸塩(BST,BaTiO3/SrTiO3)等から形成すること
もできる。
【0024】 さまざまな代替的実施例では、Kが約4以下である、さまざまな低K誘電体材
料から、誘電体層430を形成することができる。例には、アプライドマテリア
ル社のブラックダイヤモンド(R)、ノベラス社のコーラル(R)、アライドシ
グナル社のナノグラス(R)、JSR社のLKD5104等が含まれる。一実施
例では、誘電体層430は、約300Åの範囲の厚さである、アプライドマテリ
アル社のブラックダイヤモンド(R)からなり、より高いスループットを目指し
、LPCVDプロセスによるブランケット堆積によって形成される。代わりに、
アッシングまたはその他の態様による、開口220のエッチングおよびパターニ
ングしたフォトマスク150(図1−図2)の除去の後、構造100を誘電体堆
積チャンバ(図示せず)内に入れてもよく、低K誘電体材料をLPCVDプロセ
スによってブランケット堆積し、異方性エッチングしてもよく、図4が示すよう
に、開口220の側壁230上にスペーサを形成し、開口220の側壁230を
滑らかにし、後に形成した1つ以上のバリア金属層(図5を参照して、以下によ
り詳細を説明する、バリア金属層525A等)のステップカバレージを改善する
。さまざまな他の代替的実施例では、アプライドマテリアル社のプロデューサ(
R)(Producer)装置等の高密度プラズマ(HDP)装置を用い、約20−30
の層に対して層毎に堆積とエッチングとを繰り返し行なうことにより、さまざま
な低K誘電体材料から、誘電体層430を形成することができる。
【0025】 図5が示すように、次に、エッチングストップ層160を剥がし、気相成長を
行ない、薄いバリア金属層525Aと銅シード層525B(または他の導体材料
からなるシード層)とを表面全体に適用する。バリア金属層525Aと銅シード
層525Bとは、第2の誘電体層130の上表面530の全体に加え、より滑ら
かでより安定した表面440と開口220の底表面550とにブランケット堆積
し、図5が示すように、導体表面535を形成する。
【0026】 タンタルまたは窒化タンタル等のバリア金属材料からなる少なくとも1つの層
から、バリア金属層525Aを形成してよい。たとえば、窒化チタン、チタン−
タングステン、窒化チタン−タングステン、マグネシウム、または他の適切なバ
リア金属から、バリア金属層525Aを形成してもよい。銅シード層525Bは
、たとえば、物理気相成長(PVD)または化学気相成長(CVD)によって、
1つ以上のバリア金属層525Aの上に形成されてよい。
【0027】 銅バルクのトレンチ充填(または、他の導体材料のトレンチ充填)は、電気め
っき技術を用いて行なわれることが多く、導体表面535を電極(図示せず)に
機械的にクランプして電気的接触を確立し、次に、Cuイオン(または、他の導
体材料のイオン)を含む電解液に構造100を浸す。次に、ウェハ電解システム
を介して電流を通し、導体表面535上にCu(または、他の導体材料のイオン
)の還元と堆積とを生じさせる。さらに、ウェハ電解システムの交流バイアスは
、高密度プラズマ(HDP)テトラエチルオルトシリケート(TEOS)誘電体
堆積において用いられる、堆積−エッチングの循環と同様に、堆積されたCu膜
(または、他の導体材料からなる膜)を自己平坦化する方法と考えられてきた。
【0028】 図6が示すように、このプロセスは典型的に、導体表面535の全体にわたっ
て実質的に一定の厚さである、Cu640(または、他の導体材料)のコンフォ
ーマルコーティングを形成する。図7が示すように、Cu640の十分に厚い層
が堆積されると、Cu640の層は化学的機械的研磨(CMP)技術を用いて平
坦化される。CMPを用いた平坦化により、第2の誘電体層130の上表面53
0の全体から、CuとTaバリア金属とのすべてが取り除かれ、図7が示すよう
に、Cu640は、Cu充填トレンチ等の金属構造内にのみ残り、1つ以上のバ
リア金属層525Aと銅シード層525B(図5および図6)とのそれぞれ残存
する部分725Aと725Bとに隣接して、銅相互接続745を形成する。
【0029】 図7が示すように、Cu相互接続745は、1つ以上のバリア金属層525A
と銅シード層525B(図5および図6)との残存する部分725Aと725B
とに隣接するCu640を、第1の導体構造140までアニールすることによっ
て形成され得る。アニールプロセスは、約100−500℃の範囲の温度で、約
1−180分の範囲の時間、アンモニア(NH3)、分子窒素(N2)、分子水素
(H2)、アルゴン(Ar)等のうちの少なくとも1つを含んでもよい窒素含有
環境下で、従来の管の炉において行なうことができる。代わりに、アニールプロ
セスは、約100−500℃の範囲の温度で、約10−180秒の範囲の時間、
分子窒素(N2)、分子水素(H2)、アルゴン(Ar)等のうちの少なくとも1
つを含んでもよい窒素含有環境下で行なわれる、高速熱アニール(RTA)プロ
セスであってよい。
【0030】 図8が示すように、第2の低K誘電体層130は、化学的機械的研磨(CMP
)技術を用い、必要に応じて平坦化され得る。平坦化により、平坦化された第2
の低K誘電体層130は、Cu相互接続745に隣接してかつエッチングストッ
プ層110上に残り、Cu相互接続層800を形成する。Cu相互接続層800
は、第2の誘電体層130の処理された領域430に隣接する、Cu相互接続7
45を含んでよい。Cu相互接続層800は、さらに、エッチングストップ層1
10を含んでよい。図8が示すように、Cu相互接続層800は、さらに、第2
の低K誘電体層130上とCu相互接続745の少なくとも一部上とに形成され
パターニングされた、エッチングストップ層820(「ハードマスク」としても
公知であり、典型的には、窒化シリコン、Si34、または短縮してSiNから
形成される)を含んでよい。
【0031】 図9が示すように、Cu相互接続層800は、Cu相互接続層900の下にあ
る構造層(構造100と同様)であってよい。Cu相互接続層900は、平坦化
された低K誘電体層935の処理された領域945に近接する、Cu充填トレン
チ940および金属間ビア接続910を含んでよい。金属間ビア接続910は、
第1のCu構造140と同様のCu構造であってよく、金属間ビア接続910は
、Cu相互接続745(図7)の形成に関連した、上述のアニールと同様の方法
で、Cu充填トレンチ940までアニールされ得る。Cu相互接続層900は、
さらに、平坦化された低K誘電体層925および/または935上にそれぞれ形
成されパターニングされた、エッチングストップ層820および/またはエッチ
ングストップ層915および/またはエッチングストップ層920(「ハードマ
スク」としても公知であり、典型的に、窒化シリコン、Si34、または短縮し
てSiNから形成される)を含んでよい。エッチングストップ層920は、さら
に、Cu充填トレンチ940の少なくとも一部上に形成されてよい。
【0032】 図10が示すように、MOSトランジスタ1010は、Cu相互接続層100
0の下にある構造層(構造100と同様)であってよい。Cu相互接続層100
0は、平坦化された低K誘電体層1040の処理された領域1050に近接する
、Cu充填トレンチ1020および銅の金属間ビア接続1030を含んでよい。
銅の金属間ビア接続1030は、第1のCu構造140と同様のCu構造であっ
てよく、銅の金属間ビア接続1030は、Cu相互接続745(図7)の形成に
関連する、上述のアニールと同様の方法で、第2のCu構造1020までアニー
ルされ得る。
【0033】 図11が示すように、半導体基板等の構造1100上に、第1の誘電体層11
05と第1の導体構造1125(銅の金属間ビア接続等)とを形成することがで
きる。しかしながら、この発明は、たとえば、シリコンウェハ等の半導体基板の
表面上に、Cuベースの相互接続を形成することに限定されない。むしろ、この
開示を完全に読むと、当業者にとっては明らかであるように、この発明に従って
形成されたCuベースの相互接続は、前に形成された半導体素子および/または
プロセス層、たとえば、トランジスタ、または他の同様の構造上に形成されてよ
い。実際に、この発明を用いて、前に形成したプロセス層の上にプロセス層を形
成することができる。構造1100は、シリコン基板またはウェハ等の半導体材
料の下層であってよく、または、代替的に、金属酸化物半導体電界効果トランジ
スタ(MOSFET)等の層などの、半導体素子の下層(図20等を参照)であ
ってよく、および/または1つもしくは複数の金属相互接続層(図19等を参照
)および/または1つもしくは複数の層間誘電体(ILD)層等であってよい。
【0034】 図11−図18が示すように、この発明のさまざまな実施例に従った、デュア
ルダマシン銅プロセスフローでは、第1の誘電体層1105上と第1の導体構造
1125上とに、第2の誘電体層1120を形成する。第2の誘電体層1120
上に、第3の誘電体層1130を形成する。パターニングしたフォトマスク11
50を第3の誘電体層1130上に形成する。第1の誘電体層1105上におい
て、第1の誘電体層1105と第2の誘電体層1120との間に、エッチングス
トップ層(ESL)1110(「ハードマスク」としても公知であり、典型的に
は、窒化シリコン、Si34、または短縮してSiNから形成される)を形成し
、パターニングする。同様に、第2の誘電体層1120上において、第2の誘電
体層1120とパターニングしたフォトマスク1150との間に、エッチングス
トップ層1160(これも、典型的にはSiN)を形成し、パターニングする。
【0035】 図12に関連して、以下により詳細に説明するように、第1のエッチングスト
ップ層1110と第2のエッチングストップ層1115とは、デュアルダマシン
銅プロセスフローにおいて形成される、銅相互接続の下の(ビア)部分を規定す
る。必要であれば、化学的機械的平坦化(CMP)を行なって第3の誘電体層1
130を平坦化することができる。第3の誘電体層1130上の、第3の誘電体
層1130とパターニングしたフォトマスク1150との間に、エッチングスト
ップ層1160(これも典型的にはSiN)を形成し、パターニングする。
【0036】 さまざまな「低誘電率」または「低K」(Kは約4以下である)誘電体材料か
ら、第1の誘電体層1105、第2の誘電体層1120、および第3の誘電体層
1130を形成することができる。低Kである、第1の誘電体層1105、第2
の誘電体層1120、および第3の誘電体層1130は、このような層を形成す
るためのさまざまな公知の技術、たとえば、化学気相成長成長(CVD)、低圧
CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、
物理気相成長(PVD)、スピンオングラス等によって形成されてよく、各々は
、たとえば、約3000Å−8000Åの範囲の厚さであってよい。
【0037】 Kが約4以下である、さまざまな低K誘電体材料から、低Kである、第1の誘
電体層1105、第2の誘電体層1120、および第3の誘電体層1130を形
成することができる。例には、アプライドマテリアル社のブラックダイヤモンド
(R)、ノベラス社のコーラル(R)、アライドシグナル社のナノグラス(R)
、JSR社のLKD5104等が含まれる。一実施例では、低Kである、第1の
誘電体層1105、第2の誘電体層1120、および第3の誘電体層1130の
各々は、各々が約5000Åの厚さである、アプライドマテリアル社のブラック
ダイヤモンド(R)からなり、より高いスループットを目指し、プラズマ増速C
VD(PECVD)によるブランケット堆積によって各々が形成される。
【0038】 次に、図12が示すように、パターニングしたフォトマスク1150、エッ
チングストップ層1160、1115、および1110(図11−図12)、な
らびにフォトリソグラフィを用いることにより、金属被覆パターンを形成する。
たとえば、導体金属線、コンタクトホール、ビアホール等のための、ビア122
0およびトレンチ1230等の第1および第2の開口を、それぞれ第2の誘電体
層1120と第3の誘電体層1130との内までエッチングする(図12)。第
1の開口1220および第2の開口1230は、それぞれ側壁1225および1
235を有する。第1の開口1220および第2の開口1230は、さまざまな
公知の異方性エッチング技術、たとえば、臭化水素(HBr)とアルゴン(Ar
)とをエッチャントガスとして用いる、反応性イオンエッチング(RIE)プロ
セス等を用いることにより、形成され得る。代わりに、たとえば、CHF3とA
rとをエッチャントガスとするRIEプロセスを用いてよい。ドライエッチング
をさまざまな実施例で用いることもできる。エッチングは、エッチングストップ
層1110と第1の導体構造1125とで止めることができる。
【0039】 図13が示すように、たとえば、アッシングによって、パターニングしたフォ
トマスク1150を剥がす。代わりに、たとえば、硫酸(H2SO4)と過酸化水
素(H22)の1:1溶液を用い、パターニングしたフォトマスク1150を剥
がしてよい。アッシングまたはその他の態様による、開口1220および123
0のエッチング、ならびにパターニングしたフォトマスク1150(図11−図
12)の除去により、第1の誘電体層1120および第2の誘電体層1130の
多孔性低K誘電体材料が、開口1220および1230のそれぞれの側壁122
5および1235内に、それぞれ開孔1300を有するおそれがある。開孔13
00は、第1の誘電体層1120および第2の誘電体層1130の多孔性低K誘
電体材料内にあった空気が一因である可能性がある。開孔1300を被覆せずに
置くと、脱ガスおよび表面粗さのために、バリア金属層を堆積するには望ましく
ない基板になるであろう。
【0040】 図14が示すように、開口1220と1230とにそれぞれ隣接する誘電体層
1420と1430とにより、開孔1300を被覆することができる。誘電体層
1420と1430とは、開孔1300を被覆し、および/または封じ、開口1
220と1230とにそれぞれ隣接する誘電体層1420と1430との、より
滑らかで、より安定したそれぞれの表面1425と1435とを形成する。開口
1220と1230とにそれぞれ隣接する誘電体層1420と1430との、よ
り滑らかで、より安定したそれぞれの表面1425と1435とは、後に形成し
た1つ以上のバリア金属層(図15を参照して、以下により詳細を説明する、バ
リア金属層1525A等)に対し、よりよい密着力をもたらす。
【0041】 さまざまな実施例において、誘電体層1420と1430とは、このような層
を形成するためのさまざまな公知の技術、たとえば、化学気相成長(CVD)、
低圧CVD(LPCVD)、プラズマ増速CVD(PECVD)、スパッタリン
グ、物理気相成長(PVD)、熱成長、スピンオングラス等によって形成され得
る。誘電体層1420と誘電体層1430との各々は、約50−500Åの範囲
の厚さであってよい。一実施例において、誘電体層1420と1430との各々
は、各々が約100Åの厚さの二酸化シリコン(SiO2)からなり、より高い
スループットを目指し、LPCVDプロセスによるブランケット堆積によって形
成される。
【0042】 犠牲誘電体層1420と1430とをさまざまな誘電体材料から形成すること
ができ、たとえば、酸化物(Ge酸化物等)、オキシナイトライド(GaPオキ
シナイトライド等)、二酸化シリコン(SiO2)、窒素含有酸化物(窒素含有
SiO2等)、窒素でドープされた酸化物(N2が注入されたSiO2等)、シリ
コンオシキナイトライド(Sixyz)等であってよい。誘電体層1420と
1430とは、Kが約8以上である「高誘電率」または「高K」の、任意の適切
な材料、たとえば、酸化チタン(TiO2等のTixy)、酸化タンタル(Ta25等のTaxy)、バリウムストロンチウムチタン酸塩(BST,BaTiO3 /SrTiO3)等から形成することもできる。
【0043】 さまざまな実施例において、Kが約4以下である、さまざまな低K誘電体材料
から、誘電体層1420と1430とを形成することができる。例には、アプラ
イドマテリアル社のブラックダイヤモンド(R)、ノベラス社のコーラル(R)
、アライドシグナル社のナノグラス(R)、JSR社のLKD5104等が含ま
れる。一実施例では、誘電体層1420と1430との各々は、各々が約300
Åの範囲の厚さである、アプライドマテリアル社のブラックダイヤモンド(R)
からなり、より高いスループットを目指し、LPCVDプロセスによるブランケ
ット堆積によって各々が形成される。たとえば、アッシングまたはその他の態様
による、開口1220と1230とのエッチング、およびパターニングしたフォ
トマスク1150(図11−図12)の除去の後、構造1100を誘電体堆積チ
ャンバ(図示せず)内に入れてもよく、低K誘電体材料をLPCVDプロセスに
より、それぞれ開口1220と1230との側壁1225と1235との上にブ
ランケット堆積してもよい。次に、低K誘電体材料を、例えばRIEを用いて異
方的にエッチングし、図14が示すように、スペーサ様の誘電体層1420と1
430とを形成し、開口220の側壁230を滑らかにし、後に形成された1つ
以上のバリア金属層(図15を参照して、以下により詳細を説明する、バリア金
属層1525A等)のステップカバレージを改善することができる。さまざまな
他の代替的実施例では、アプライドマテリアル社のプロデューサ(R)装置等の
高密度プラズマ(HDP)装置を用い、約20−30の層に対して層毎に堆積と
エッチングとを繰り返し行なうことにより、さまざまな低K誘電体材料から、誘
電体層1420と1430とを形成することができる。
【0044】 図15が示すように、次に、エッチングストップ層1160を剥がし、薄いバ
リア金属層1525Aと銅シード層1525B(または他の導体材料からなるシ
ード層)とを、気相成長を行なうことにより、表面全体に適用する。バリア金属
層1525Aと銅シード層1525Bとは、第3の誘電体層1130の上表面1
530の全体に加え、それぞれ第1の開口1220と第2の開口1230との、
より滑らかでより安定したそれぞれの表面1425と1435、およびそれぞれ
の底領域1540と1550とにブランケット堆積し、図15が示すように、導
体表面1535を形成する。
【0045】 タンタルまたは窒化タンタル等のバリア金属材料からなる少なくとも1つの層
から、バリア金属層1525Aを形成してよい。たとえば、窒化チタン、チタン
−タングステン、窒化チタン−タングステン、マグネシウム、または他の適切な
バリア金属から、バリア金属層1525Aを形成してもよい。銅シード層152
5Bは、たとえば、物理気相成長(PVD)または化学気相成長(CVD)によ
って、1つ以上のバリア金属層1525A上に形成されてよい。
【0046】 銅バルクのトレンチ充填(または、他の導体材料のトレンチ充填)は、電気め
っき技術を用いて行なわれることが多く、導体表面1535を電極(図示せず)
に機械的にクランプして電気的接触を確立し、次に、Cuイオン(または、他の
導体材料のイオン)を含む電解液に構造1100を浸す。次に、ウェハ電解シス
テムを介して電流を流し、導体表面1535上にCu(または、他の導体材料の
イオン)の還元と堆積とを生じさせる。さらに、ウェハ電解システムの交流バイ
アスは、高密度プラズマ(HDP)テトラエチルオルトシリケート(TEOS)
誘電体堆積において用いられる、堆積−エッチングの循環と同様に、堆積された
Cu膜(または、他の導体材料からなる膜)を自己平坦化する方法と考えられて
きた。
【0047】 図16が示すように、このプロセスは典型的に、導体表面1535の全体にわ
たって実質的に一定の厚さである、Cu1640(または、他の導体材料)のコ
ンフォーマルコーティングを形成する。図17が示すように、Cu1640の十
分に厚い層が堆積されると、Cu1640の層は化学的機械的平坦化(CMP)
技術を用いて平坦化される。CMPを用いた平坦化により、第3の誘電体層11
30の上表面1530の全体から、CuとTaバリア金属とのすべてが取り除か
れ、Cu1640は、Cu充填トレンチおよびビア等の金属構造内にのみ残り、
図17が示すように、1つ以上のバリア金属層1525Aと銅シード層1525
B(図15および図16)とのそれぞれ残存する部分1725Aと1725Bと
に隣接する、Cu相互接続1745を形成する。
【0048】 図17が示すように、Cu相互接続1745は、1つ以上のバリア金属層15
25Aと銅シード層1525B(図15および図16)との残存する部分172
5Aと1725Bとに隣接するCu1640を、第1の導体構造1125までア
ニールすることによって形成され得る。アニールプロセスは、約100−500
℃の範囲の温度で、約1−180分の範囲の時間、アンモニア(NH3)、分子
窒素(N2)、分子水素(H2)、アルゴン(Ar)等のうちの少なくとも1つを
含んでもよい窒素含有環境下で、従来の管の炉において行なうことができる。代
わりに、アニールプロセスは、約100−500℃の範囲の温度で、約10−1
80秒の範囲の時間、分子窒素(N2)、分子水素(H2)、アルゴン(Ar)等
のうちの少なくとも1つを含んでもよい窒素含有環境下で行なわれる、高速熱ア
ニール(RTA)プロセスであってよい。
【0049】 図18が示すように、第3の低K誘電体層1130は、化学的機械的平坦化(
CMP)技術を用い、必要に応じて平坦化され得る。平坦化により、平坦化され
た第3の低K誘電体層1130は、Cu相互接続1745に隣接してかつエッチ
ングストップ層1115上に残り、Cu相互接続層1800の一部を形成する。
Cu相互接続層1800は、第2の誘電体層1120と第3の誘電体層1130
との、それぞれ処理された領域1420と1430とにそれぞれ隣接する、Cu
相互接続1745を含んでよい。Cu相互接続層1800は、さらに、第1のエ
ッチングストップ層1110を含んでよい。図18が示すように、Cu相互接続
層1800は、さらに、第3の誘電体層1130上とCu相互接続1745の少
なくとも一部上とに形成されパターニングされた、エッチングストップ層182
0(「ハードマスク」としても公知であり、典型的には、窒化シリコン、Si3
4、または省略してSiNから形成される)を含んでよい。
【0050】 図19が示すように、Cu相互接続層1800は、Cu相互接続層1900の
下にある構造層(構造1100と同様)であってよい。さまざまな実施例におい
て、Cu相互接続層1900は、平坦化された低K誘電体層1935の処理され
た領域1945に隣接するCu充填トレンチ1940と、平坦化された低K誘電
体層1925に隣接する金属間ビア接続1910と、低K誘電体層1935と1
925との間のエッチングストップ層1915とを含むことができる。金属間ビ
ア接続1910は、第1のCu構造1125と同様のCu構造であってよく、金
属間ビア接続1910は、Cu相互接続745(図7)の形成に関連した、上述
のアニールと同様の方法で、Cu充填トレンチ1940までアニールされ得る。
Cu相互接続層1900は、さらに、平坦化された低K誘電体層1935上とC
u充填トレンチ1940の少なくとも一部上とに形成されパターニングされた、
エッチングストップ層1820および/またはエッチングストップ層1920を
含むことができる。
【0051】 さまざまな代替的実施例において、Cu相互接続層1900はCu相互接続層
1800と同様であってよく、Cu相互接続層1900は、たとえば、Cu相互
接続1745(図17−図18)と同様のCu相互接続(図示せず)が中に配置
される。Cu相互接続層1900内に配置されたCu相互接続は、Cu相互接続
1745(図17)の形成に関する、上述のアニールと同様の方法で、Cu相互
接続層1800内に配置されたCu相互接続1745までアニールされ得る。
【0052】 図20が示すように、MOSトランジスタ2010は、Cu相互接続層200
0の下にある構造層(構造1100と同様)であってよい。Cu相互接続層20
00は、平坦化された低K誘電体層2040の処理された領域2050に隣接す
る、Cu充填トレンチおよびビア2020を含んでよい。Cu充填トレンチおよ
びビア2020は、Cu相互接続1745(図17)の形成に関する、上述のア
ニールと同様の方法で、MOSトランジスタ2010のソース/ドレイン領域2
015等の、下にある導体構造までアニールされ得る。
【0053】 図11−図18が示すような、この発明のさまざまな実施例に従ったデュアル
ダマシン銅プロセスフローは、バリア金属層およびCuシード層の形成前、なら
びにCuによるトレンチ充填の前に、より複雑なパターンをエッチングすること
により、金属間ビア接続の形成と、Cuによるトレンチ充填とを組合せる。トレ
ンチのエッチングは、ビアホール(図12の第1の開口1220等)が完全にエ
ッチングされるまで続く。図13−図18が示すような、この発明のさまざまな
実施例に従った、デュアルダマシン銅プロセスフローのその他の部分は、図3−
図8が示すような、この発明のさまざまな実施例に従った、対応する1回のダマ
シン銅プロセスフローと本質的に同じである。しかしながら、全体的に、この発
明のさまざまな実施例に従った、デュアルダマシン銅プロセスフローは、処理工
程の数を著しく減らし、Cu金属被覆を達成する好ましい方法である。
【0054】 銅相互接続を形成する方法の、上に開示されたどの実施例も、従来のダマシン
技術で典型的に用いられる従来の低K材料に比べてはるかに丈夫である、孔の被
覆された誘電体材料と共に、従来のダマシン技術を用い、銅相互接続を形成する
ことができる。従来のダマシン技術におけるエッチングおよび後の処理工程の間
、孔の被覆された誘電体材料は、従来の低K材料に比べてはるかに損傷を受けに
くい。銅相互接続に隣接する、孔の被覆された低K誘電体層を形成することによ
り、低K誘電体層を用い、隣接する銅相互接続間のキャパシタンスとRC遅延と
を減少させるという利点のすべてを保持し、しかも、従来のダマシン処理の間に
、従来の開孔低K誘電体を用いて何の困難もなく、銅相互接続を形成することが
できる。
【0055】 上に開示された特定の実施例は例示のみであり、この教示の恩恵を受ける当業
者にとっては明らかである、異なるが等価の方法によって、この発明を変更し、
実施することができる。さらに、前掲の請求項で説明される以外は、ここに示さ
れる構成または設計の詳細にいかなる限定も意図されない。したがって、上に開
示された特定の実施例を変形または変更することができ、すべてこのような変形
は、この発明の範囲および精神の中にあると考えられることは明らかである。し
たがって、ここで求められる保護は、前掲の請求項に示されるものによる。
【図面の簡単な説明】
【図1】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図2】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図3】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図4】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図5】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図6】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図7】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図8】 この発明のさまざまな実施例に従った、1回のダマシンによる銅
相互接続のプロセスフローを概略的に示す図である。
【図9】 この発明のさまざまな実施例に従った、多重層の銅相互接続を概
略的に示す図である。
【図10】 この発明のさまざまな実施例に従った銅相互接続が、MOSト
ランジスタのソース/ドレイン領域と接続するのを概略的に示す図である。
【図11】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続を概略的に示す図である。
【図12】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続のプロセスフローを概略的に示す図である。
【図13】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続のプロセスフローを概略的に示す図である。
【図14】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続のプロセスフローを概略的に示す図である。
【図15】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続のプロセスフローを概略的に示す図である。
【図16】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続のプロセスフローを概略的に示す図である。
【図17】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続のプロセスフローを概略的に示す図である。
【図18】 この発明のさまざまな実施例に従った、デュアルダマシンによ
る銅相互接続のプロセスフローを概略的に示す図である。
【図19】 この発明のさまざまな実施例に従った、多重層の銅相互接続を
概略的に示す図である。
【図20】 この発明のさまざまな実施例に従った銅相互接続が、MOSト
ランジスタのソース/ドレイン領域と接続するのを概略的に示す図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成14年3月20日(2002.3.20)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0006
【補正方法】変更
【補正の内容】
【0006】 Cu相互接続間でキャパシタンスが増大する問題に対する従来の解決法の1つ
は、ダマシン技術を用いて、中にCu相互接続が形成される層間誘電体層(IL
D)に、「低誘電率」または「低K」の、Kが約4以下である誘電体材料を用い
ることである。しかしながら、低K誘電体材料は、ダマシン技術とともに用いる
のが難しい材料である。たとえば、低K誘電体材料は、ダマシン技術で用いられ
るエッチングおよび後の処理工程の間に損傷を受けて弱化しやすい。特に、低K
誘電体材料内に形成されたトレンチおよび/またはビア等の開口の側壁は、とり
わけ傷付きやすい。さらに、低K誘電体材料は多孔性であり、バリア金属層を堆
積するには、弱く、均一でない基板である。特に、エッチングおよびアッシング
(パターニングのために用いられたフォトレジストマスクを除去するため)の後
、多孔性の低K誘電体材料は、(多孔性の低K誘電体材料内にあった空気が一因
である)開孔を有することになり、脱ガスおよび表面粗さのために、バリア金属
層を上に堆積するべき基板には望ましくないものになる。 US−A−5753967は、側壁を設けることにより、当初の寸法を縮小す
ることのできる開口を備えた低K誘電体層を含む、半導体素子を開示する。側壁
は、第2の誘電体材料から成ってよく、その材料は、低K誘電体層の材料とは異
なっていてよく、開口内に形成されたビア内の金属が低K誘電体層の影響から保
護され得るという利点を有する。 US−A−5284801は、ポリイミドによって互いに絶縁された金属被覆
線を含む集積回路を開示し、それは、下にある構造物におけるいかなる凸凹をも
ならすという利点と、水分を放出して金属被覆線を腐食するおそれがあるという
不利益とを有する。金属被覆線は耐湿性層によって保護されるが、その層によっ
て、さらに、ポリイミド内に形成された任意の開口が保護される。耐湿性材料を
ポリイミドの開口および表面に充填し、ビアをエッチングによって保護層内に形
成する。 US−A−5661344は、コンダクタ間のキャパシタンスを減少させる多
孔性誘電体材料を含む、半導体素子を開示する。コンフォーマル堆積と、後の異
方性エッチングとによって、誘電体内に形成される開口をもたらし、開口は側壁
を備え、開口内に置かれた任意の金属が多孔性誘電体と直接接触することを防ぐ
。 WO−A−97 41592は、半導体基板の導体構造物上に形成された、低
誘電率レベル間構造を開示する。それは3つの誘電体層からなり、そのうちの1
つは或る割合のフッ素を含む。レベル間構造内に形成された開口は、パシベーシ
ョン層によって保護される側壁を有する。 US−A−5 643 407は、集積回路の金属間誘電体層を形成する方法
を開示する。金属間誘電体層は、第1の酸化シリコン層と、硬化させたスピンオ
ングラス材料と、第2の酸化シリコン層とを含む。金属間誘電体層を通って開口
を形成し、スピンオングラスと開口との間にバリアを設け、開口内に置いた任意
の金属をスピンオングラスの影響から保護する。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0009
【補正方法】変更
【補正の内容】
【0009】
【発明の開示】 この発明の一局面において提供される方法は、第1の構造層上に第1の誘電体
層を形成するステップを含み、第1の誘電体層は、低誘電率(低K)誘電体材料
を用いて形成され、さらに、第1の誘電体層内に第1の開口を形成するステップ
を含み、第1の開口は側壁を有する。この方法は、第1の誘電体層上と第1の開
口の側壁上とに第2の誘電体層を堆積するステップと、第2の誘電体層上で異方
性エッチングプロセスを行ない、上述の第1の開口の側壁に隣接する、第2の誘
電体層からなる側壁スペーサを規定するステップとをさらに含む。この方法は、
多くて4の誘電率を有する第1の誘電体層の材料と、50−500Åの範囲の厚
さである第2の誘電体層とによって特徴付けられる。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ベッサー,ポール・アール アメリカ合衆国、78749 テキサス州、オ ースティン、リチャード・キング・トレイ ル、8103 (72)発明者 スリカンテワラ,ダクシナ−マーシー アメリカ合衆国、78759 テキサス州、オ ースティン、ジョリービル・ロード、 10926、ナンバー・1423 (72)発明者 マーティン,ジェレミー・アイ アメリカ合衆国、78704 テキサス州、オ ースティン、バートン・ヒルズ・トライ ブ、1200、ナンバー・180 (72)発明者 スミス,ジョナサン・ビィ アメリカ合衆国、94555 カリフォルニア 州、フレモント、ジョンソン・コート、 3591 (72)発明者 アペルグレン,エリック・エム アメリカ合衆国、78749 テキサス州、オ ースティン、クラフティ・コーブ、4807 Fターム(参考) 5F033 HH07 HH11 HH21 HH23 HH32 HH33 JJ07 JJ11 JJ21 JJ23 JJ32 JJ33 KK01 KK07 KK11 KK21 KK23 KK32 KK33 MM01 MM02 MM12 MM13 NN05 NN06 PP06 PP14 PP27 QQ09 QQ16 QQ25 QQ28 QQ48 QQ73 QQ82 RR03 RR04 RR06 RR07 RR08 RR09 RR29 SS07 SS08 SS11 SS13 SS15 SS22 TT07 TT08 XX01 XX02 XX24

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 構造層(100)上に第1の誘電体層(130)を形成する
    ステップと、 前記第1の誘電体層(130)内に第1の開口(220)を形成するステップ
    とを含み、前記第1の開口(220)は側壁(230)を有し、さらに、 前記第1の開口(220)の前記側壁(230)上に第2の誘電体層(430
    )を形成するステップを含む、方法。
  2. 【請求項2】 前記第1の誘電体層(130)を平坦化するステップをさら
    に含み、前記第1の誘電体層(130)を形成するステップは、多くて約4の誘
    電率Kを有する低誘電率(低K)誘電体材料を用いて、前記第1の誘電体層(1
    30)を形成するステップを含む、請求項1に記載の方法。
  3. 【請求項3】 前記第2の誘電体層(430)を形成するステップは、多く
    て約4の誘電率Kを有する低誘電率(低K)誘電体材料を用いて、前記第2の誘
    電体層(430)を形成するステップと、化学気相成長(CVD)、低圧CVD
    (LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気
    相成長(PVD)、およびスピンオングラスのうちの1つを用いて、前記第2の
    誘電体層(430)を形成するステップとを含む、請求項1に記載の方法。
  4. 【請求項4】 構造層(100)上に第1の誘電体層(120)を形成する
    ステップと、 前記第1の誘電体層(120)内に導体構造(140)を形成するステップと
    、 前記第1の誘電体層(120)上と前記導体構造(140)上とに第2の誘電
    体層(130)を形成するステップと、 前記第2の誘電体層(130)内の、前記導体構造(140)の少なくとも一
    部上に開口(220)を形成するステップとを含み、前記開口(220)は側壁
    (230)を有し、さらに、 前記開口(220)の前記側壁(230)上に第3の誘電体層(430)を形
    成するステップを含む、方法。
  5. 【請求項5】 前記第2の誘電体層(130)上および前記開口(220)
    内に第2の導体層を形成するステップをさらに含み、前記第2の導体層は、前記
    導体構造(140)の前記少なくとも一部と接触し、さらに、 前記第2の誘電体層(130)上の、前記第2の導体層の一部を除去すること
    によって導体相互接続を形成するステップを含み、前記導体相互接続は前記開口
    (220)内に残り、さらに、 前記導体相互接続を前記導体構造(140)までアニールするステップを含む
    、請求項4に記載の方法。
  6. 【請求項6】 前記第2の誘電体層(130)を平坦化するステップをさら
    に含み、前記第2の誘電体層(130)を形成するステップは、多くて約4の誘
    電率Kを有する低誘電率(低K)誘電体材料を用いて、前記第2の誘電体層(1
    30)を形成するステップを含む、請求項5に記載の方法。
  7. 【請求項7】 前記第1の誘電体層(120)を形成するステップは、多く
    て約4の誘電率Kを有する低誘電率(低K)誘電体材料を用いて、前記第1の誘
    電体層(120)を形成するステップと、化学気相成長(CVD)、低圧CVD
    (LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気
    相成長(PVD)、およびスピンオングラスのうちの1つを用いて前記第1の誘
    電体層(120)を形成するステップとを含む、請求項4に記載の方法。
  8. 【請求項8】 前記第3の誘電体層(430)を形成するステップは、多く
    て約4の誘電率Kを有する低誘電率(低K)誘電体材料を用いて、前記第3の誘
    電体層(430)を形成するステップと、化学気相成長(CVD)、低圧CVD
    (LPCVD)、プラズマ増速CVD(PECVD)、スパッタリング、物理気
    相成長(PVD)、およびスピンオングラスのうちの1つを用いて、前記第3の
    誘電体層(430)を形成するステップとを含む、請求項4に記載の方法。
  9. 【請求項9】 前記第2の導体層(640)を形成するステップは、導体材
    料の電気化学的堆積を行なって前記第2の導体層(640)を形成するステップ
    と、前記導体材料の前記電気化学的堆積の前に、前記第2の開口(220)内に
    少なくとも1つのバリア層(525A)と導体材料シード層(525B)とを形
    成するステップとを含み、前記第2の導体層(640)の一部を除去するステッ
    プは、前記導体材料の前記電気化学的堆積の後で、化学的機械的研磨を行って前
    記導体材料を平坦化するステップを含む、請求項5に記載の方法。
  10. 【請求項10】 銅相互接続(1745)を形成する方法であって、 構造層(1100)上に第1の誘電体層(1120)を形成するステップと、 前記第1の誘電体層(1120)内に銅のビア(1125)を形成するステッ
    プと、 前記第1の誘電体層(1120)上と前記銅のビア(1125)上とに第2の
    誘電体層(1130)を形成するステップと、 前記第2の誘電体層(1130)内の、前記銅のビア(1125)の少なくと
    も一部上に、開口(1230)を形成するステップとを含み、前記開口(123
    0)は開孔(1300)を有する側壁(1235)を有し、前記方法はさらに、 前記開口(1230)の前記側壁(1235)上に第3の誘電体層(1430
    )を形成し、開孔(1300)を被覆するステップを含む、方法。
JP2001553580A 2000-01-19 2000-09-20 エッチングされた低誘電率材料の孔を封じるための誘電体形成 Withdrawn JP2003520448A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/487,531 US20010051420A1 (en) 2000-01-19 2000-01-19 Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
US09/487,531 2000-01-19
PCT/US2000/025737 WO2001054190A1 (en) 2000-01-19 2000-09-20 Dielectric formation to seal porosity of etched low dielectric constant materials

Publications (1)

Publication Number Publication Date
JP2003520448A true JP2003520448A (ja) 2003-07-02

Family

ID=23936110

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001553580A Withdrawn JP2003520448A (ja) 2000-01-19 2000-09-20 エッチングされた低誘電率材料の孔を封じるための誘電体形成

Country Status (5)

Country Link
US (1) US20010051420A1 (ja)
EP (1) EP1249039A1 (ja)
JP (1) JP2003520448A (ja)
KR (1) KR20020070344A (ja)
WO (1) WO2001054190A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009078A (ja) * 2000-05-15 2002-01-11 Asm Microchemistry Oy 交互層蒸着前の保護層
WO2005013356A1 (ja) * 2003-07-18 2005-02-10 Nec Corporation 溝配線を有する半導体装置および半導体装置の製造方法
US6975033B2 (en) 2001-12-26 2005-12-13 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2007027347A (ja) * 2005-07-15 2007-02-01 Sony Corp 半導体装置およびその製造方法
JP2007511906A (ja) * 2003-11-14 2007-05-10 東京エレクトロン株式会社 調整可能な反射防止コーティングを含む構造およびその形成方法。
US11615981B2 (en) 2020-03-10 2023-03-28 Kabushiki Kaisha Toshiba Isolator

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3329380B2 (ja) 1999-09-21 2002-09-30 日本電気株式会社 半導体装置およびその製造方法
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
FR2819635B1 (fr) * 2001-01-18 2004-01-23 St Microelectronics Sa Procede de fabrication de reseaux d'interconnexions
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US7067424B2 (en) * 2001-12-19 2006-06-27 Koninklijke Philips Electronics N.V. Method of manufacturing an electronic device
US7442756B2 (en) 2002-06-20 2008-10-28 Infineon Technologies Ag Polymer for sealing porous materials during chip production
DE10227663A1 (de) * 2002-06-20 2004-01-15 Infineon Technologies Ag Verfahren zum Versiegeln poröser Materialien bei der Chipherstellung und Verbindungen hierfür
KR100434508B1 (ko) * 2002-08-01 2004-06-05 삼성전자주식회사 변형된 듀얼 다마신 공정을 이용한 반도체 소자의 금속배선 형성방법
US7049034B2 (en) * 2003-09-09 2006-05-23 Photronics, Inc. Photomask having an internal substantially transparent etch stop layer
US7247939B2 (en) * 2003-04-01 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filled semiconductor features with improved structural stability
CN100407400C (zh) * 2003-05-29 2008-07-30 日本电气株式会社 布线结构
US7285447B2 (en) * 2003-06-25 2007-10-23 Intel Corporation Method and apparatus for imprinting a circuit pattern using ultrasonic vibrations
US7052990B2 (en) * 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
US7138333B2 (en) * 2003-09-05 2006-11-21 Infineon Technologies Ag Process for sealing plasma-damaged, porous low-k materials
US7208418B1 (en) * 2003-12-08 2007-04-24 Advanced Micro Devices, Inc. Sealing sidewall pores in low-k dielectrics
US7157373B2 (en) 2003-12-11 2007-01-02 Infineon Technologies Ag Sidewall sealing of porous dielectric materials
KR100587659B1 (ko) * 2003-12-31 2006-06-08 동부일렉트로닉스 주식회사 듀얼 다마신 공정 중 구리 산화 방지 방법
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
JP2007053133A (ja) * 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
KR100770541B1 (ko) * 2005-12-29 2007-10-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
DE102007046846A1 (de) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US20090115060A1 (en) 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
US8969195B2 (en) * 2008-02-22 2015-03-03 International Business Machines Corporation Methods of manufacturing semiconductor devices and a semiconductor structure
JP4441658B1 (ja) * 2008-12-19 2010-03-31 国立大学法人東北大学 銅配線形成方法、銅配線および半導体装置
CN102468223A (zh) * 2010-11-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
US9224638B2 (en) * 2014-05-12 2015-12-29 Globalfoundries Inc. Integrated circuits with metal-titanium oxide contacts and fabrication methods
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
DE102016100504B4 (de) * 2016-01-13 2021-11-18 Infineon Technologies Ag Transistorbauelement mit segmentierter Kontaktschicht
US10867840B2 (en) * 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
DE102019120765B4 (de) * 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US11469047B2 (en) * 2020-03-06 2022-10-11 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Method for manufacturing high-profile and high-capacitance capacitor

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5284801A (en) * 1992-07-22 1994-02-08 Vlsi Technology, Inc. Methods of moisture protection in semiconductor devices utilizing polyimides for inter-metal dielectric
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US5643407A (en) * 1994-09-30 1997-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Solving the poison via problem by adding N2 plasma treatment after via etching
WO1997010612A1 (en) * 1995-09-14 1997-03-20 Advanced Micro Devices, Inc. Damascene process for reduced feature size
WO1997041592A1 (en) * 1996-05-02 1997-11-06 Advanced Micro Devices, Inc. A fluorinated oxide low permittivity dielectric stack for reduced capacitive coupling

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009078A (ja) * 2000-05-15 2002-01-11 Asm Microchemistry Oy 交互層蒸着前の保護層
US6975033B2 (en) 2001-12-26 2005-12-13 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
WO2005013356A1 (ja) * 2003-07-18 2005-02-10 Nec Corporation 溝配線を有する半導体装置および半導体装置の製造方法
US7622808B2 (en) 2003-07-18 2009-11-24 Nec Corporation Semiconductor device and having trench interconnection
JP2007511906A (ja) * 2003-11-14 2007-05-10 東京エレクトロン株式会社 調整可能な反射防止コーティングを含む構造およびその形成方法。
JP2007027347A (ja) * 2005-07-15 2007-02-01 Sony Corp 半導体装置およびその製造方法
US11615981B2 (en) 2020-03-10 2023-03-28 Kabushiki Kaisha Toshiba Isolator

Also Published As

Publication number Publication date
US20010051420A1 (en) 2001-12-13
KR20020070344A (ko) 2002-09-05
EP1249039A1 (en) 2002-10-16
WO2001054190A1 (en) 2001-07-26

Similar Documents

Publication Publication Date Title
JP2003520448A (ja) エッチングされた低誘電率材料の孔を封じるための誘電体形成
US6355555B1 (en) Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6303486B1 (en) Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal
US6958291B2 (en) Interconnect with composite barrier layers and method for fabricating the same
US6989604B1 (en) Conformal barrier liner in an integrated circuit interconnect
US6368967B1 (en) Method to control mechanical stress of copper interconnect line using post-plating copper anneal
US6261963B1 (en) Reverse electroplating of barrier metal layer to improve electromigration performance in copper interconnect devices
US7166922B1 (en) Continuous metal interconnects
US20040115921A1 (en) Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6610594B2 (en) Locally increasing sidewall density by ion implantation
JP2000091422A (ja) 多層配線構造の製造方法
US6426558B1 (en) Metallurgy for semiconductor devices
EP1330842B1 (en) Low temperature hillock suppression method in integrated circuit interconnects
US6498112B1 (en) Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films
US6383943B1 (en) Process for improving copper fill integrity
US6482755B1 (en) HDP deposition hillock suppression method in integrated circuits
US6869879B1 (en) Method for forming conductive interconnects
US6825561B1 (en) Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US6881665B1 (en) Depth of focus (DOF) for trench-first-via-last (TFVL) damascene processing with hard mask and low viscosity photoresist
US6649511B1 (en) Method of manufacturing a seed layer with annealed region for integrated circuit interconnects
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
KR20020078368A (ko) 무기 저유전막을 이용한 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20071204