JP2002198311A - Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device and equipment and electro-optical system for putting these methods into practice - Google Patents

Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device and equipment and electro-optical system for putting these methods into practice

Info

Publication number
JP2002198311A
JP2002198311A JP2000391778A JP2000391778A JP2002198311A JP 2002198311 A JP2002198311 A JP 2002198311A JP 2000391778 A JP2000391778 A JP 2000391778A JP 2000391778 A JP2000391778 A JP 2000391778A JP 2002198311 A JP2002198311 A JP 2002198311A
Authority
JP
Japan
Prior art keywords
film
thin film
hydrogen
semiconductor thin
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000391778A
Other languages
Japanese (ja)
Other versions
JP2002198311A5 (en
Inventor
Hideo Yamanaka
英雄 山中
Hisayoshi Yamoto
久良 矢元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2000391778A priority Critical patent/JP2002198311A/en
Publication of JP2002198311A publication Critical patent/JP2002198311A/en
Publication of JP2002198311A5 publication Critical patent/JP2002198311A5/ja
Pending legal-status Critical Current

Links

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Liquid Crystal (AREA)
  • Photovoltaic Devices (AREA)
  • Electroluminescent Light Sources (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)
  • Cold Cathode And The Manufacture (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method for easily forming a high quality polycrystalline semiconductor thin film such as of polycrystalline silicon, at a high crystallization ratio at low cost and that for forming the film of a large area, and to provide equipment for putting such method into practice. SOLUTION: When forming the polycrystalline semiconductor thin film 7 with high crystallization ratio and the polycrystalline silicon film or the like having a large size diameter on a substrate 1 or when manufacturing a semiconductor device having the polycrystalline semiconductor thin film 7 on the substrate 1, the work is brought into contact with a catalyst 46 prepared by heating hydrogen or a hydrogen including gas and a raw material gas 40, and then a generated reaction material is deposited on the substrate 1, and a vapor deposition process (catalyst CVD) of forming a low grade crystalline semiconductor thin film of a micro crystal silicon or the like is performed. The work is brought into contact with the catalyst 46 prepared by heating the hydrogen or the hydrogen including the gas, and then the generated active material is made to operate to the low grade crystalline semiconductor thin film. Then the polycrystalline semiconductor thin film 7 is made by repeating an annealing process (catalyst AHA process) that promotes crystallization of the low grade crystalline semiconductor thin film. The method for forming such polycrystalline semiconductor thin film or the method for manufacturing a semiconductor device and the equipment for carrying out them are provided.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、基体上に多結晶シ
リコンなどの多結晶性半導体薄膜を気相成長させる方法
及びその装置、その多結晶性半導体薄膜を基体上に有す
る半導体装置の製造方法及びその装置、並びに電気光学
装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method and an apparatus for vapor-phase growing a polycrystalline semiconductor thin film such as polycrystalline silicon on a substrate, and a method for manufacturing a semiconductor device having the polycrystalline semiconductor thin film on the substrate. And its device, and an electro-optical device.

【0002】[0002]

【従来の技術】従来、MOSFET(Metal-Oxide-Semi
conductor Field Effect Transistor)である例えばM
OSTFT(Thin Film Transistor=薄膜絶縁ゲート型
電界効果トランジスタ)のソース、ドレイン及びチャン
ネル領域を多結晶シリコン膜で形成するに際し、プラズ
マCVD(CVD:Chemical Vapor Deposition=化学
的気相成長法)や減圧CVD法等が用いられている。
2. Description of the Related Art Conventionally, MOSFETs (Metal-Oxide-Semi
conductor Field Effect Transistor)
When the source, drain and channel regions of an OSTFT (Thin Film Transistor) are formed of a polycrystalline silicon film, plasma CVD (Chemical Vapor Deposition) or low pressure CVD is used. The law is used.

【0003】こうしたプラズマCVD法、減圧CVD法
等により形成したアモルファス又は多結晶シリコンは、
特開平7−131030号、特開平9−116156
号、特公平7−118443号にみられるように、単に
高温アニール又はエキシマレーザーアニール(ELA:
Excimer Laser Anneal)処理することにより、多結晶シ
リコン膜のキャリア移動度の改善を図ってきたが、この
方法では80〜120cm2/V・sec程度のキャリ
ア移動度を得るのが限界であった。しかし、プラズマC
VD法によるアモルファスシリコンのELAで得られた
多結晶シリコンを用いるMOSTFTの電子移動度は、
100cm2/V・sec前後であり、高精細化にも対
応できるので、最近は駆動回路一体型の多結晶シリコン
MOSTFTを用いたLCD(Liquid Crystal Display
=液晶表示装置)が注目されている(特開平6−242
433号参照)。
An amorphous or polycrystalline silicon formed by such a plasma CVD method, a low pressure CVD method, etc.
JP-A-7-131030, JP-A-9-116156
As shown in JP-B-7-118443, simply high-temperature annealing or excimer laser annealing (ELA:
Excimer Laser Anneal) treatment has been used to improve the carrier mobility of the polycrystalline silicon film. However, this method has a limit of obtaining a carrier mobility of about 80 to 120 cm 2 / V · sec. However, plasma C
The electron mobility of MOSTFT using polycrystalline silicon obtained by ELA of amorphous silicon by VD method is as follows.
Since it is about 100 cm 2 / V · sec and can correspond to high definition, recently, LCD (Liquid Crystal Display) using polycrystalline silicon MOSTFT integrated with drive circuit
= Liquid crystal display device) (JP-A-6-242)
No. 433).

【0004】[0004]

【発明が解決しようとする課題】しかし、上記したEL
Aによる多結晶シリコンMOSTFTの製法では、エキ
シマレーザー出力の安定性や、生産性、大型化による装
置価格の上昇、歩留/品質低下等の問題が山積してお
り、特に、1m×1mの大型ガラス基板になると、前記
の問題が拡大して性能/品質向上とコストダウンが一層
難しくなる。
However, the above-mentioned EL
In the method of manufacturing a polycrystalline silicon MOSTFT according to A, there are many problems such as stability of excimer laser output, increase in equipment price due to productivity and increase in size, reduction in yield / quality, and the like. In the case of a glass substrate, the above-mentioned problems are enlarged, and it becomes more difficult to improve performance / quality and reduce costs.

【0005】また、固相成長法による多結晶シリコンM
OSTFTの製法では、600℃以上での十数時間のア
ニールと、約1000℃での熱酸化のゲートSiO2
形成が必要なために、半導体製造装置を採用せざるを得
ない。このために、基板サイズは、ウエーハサイズ8〜
12インチφが限界であり、また高耐熱性で高価な石英
ガラスを採用しなければならず、コストダウンが難し
く、EVFやデータ/AVプロジェクタに用途が限定さ
れている。
Also, polycrystalline silicon M by a solid phase growth method is used.
In the manufacturing method of the OSTFT, annealing for more than 10 hours at 600 ° C. or more and formation of a gate SiO 2 for thermal oxidation at about 1000 ° C. are required, so that a semiconductor manufacturing apparatus has to be employed. For this reason, the substrate size should be wafer size 8 ~
The limit is 12 inches φ, and expensive heat-resistant and expensive quartz glass must be adopted, which makes it difficult to reduce the cost and limits its use to EVF and data / AV projectors.

【0006】近時、ガラス基板のような絶縁性基板上
に、多結晶シリコン膜、窒化シリコン膜等を低温で作製
し得る優れた熱CVDである触媒CVD法が開発され
(特公昭63−40314号、特公平8−250438
号参照)、実用化の検討が推進されている。触媒CVD
法においては、結晶化アニールなしで、30cm2/V
・sec程度のキャリア移動度を得ているが、良質なM
OSTFTデバイスを作製するにはまだ不十分である。
そして、ガラス基板上に多結晶シリコン膜を形成する
と、成膜条件次第では初期のアモルファスシリコンの転
移層(厚さ5〜10nm)が形成されやすいので、ボト
ムゲート型MOSTFTとした場合は所望のキャリア移
動度は得にくい。一般に駆動回路一体型の多結晶シリコ
ンMOSTFTを用いたLCDは、ボトムゲート型MO
STFTが歩留及び生産性の面で製造しやすいが、この
問題がネックとなってくる。
Recently, a catalytic CVD method, which is an excellent thermal CVD method capable of forming a polycrystalline silicon film, a silicon nitride film and the like at a low temperature on an insulating substrate such as a glass substrate, has been developed (JP-B-63-40314). No., Tokuhei 8-250438
No.), and studies for practical use are being promoted. Catalytic CVD
In the method, 30 cm 2 / V without crystallization annealing
・ Carrier mobility of about sec, but high quality M
It is still not enough to make OSTFT devices.
When a polycrystalline silicon film is formed on a glass substrate, an initial amorphous silicon transition layer (5 to 10 nm in thickness) is likely to be formed depending on the film formation conditions. Mobility is difficult to obtain. Generally, an LCD using a polycrystalline silicon MOSTFT integrated with a driving circuit is a bottom gate type MOFET.
Although STFTs are easy to manufacture in terms of yield and productivity, this problem is a bottleneck.

【0007】本発明の目的は、高結晶化率で高品質の多
結晶シリコン等の多結晶性半導体薄膜を容易かつ低コス
トに、しかも大面積に形成可能な方法と、この方法を実
施する装置を提供することにある。
An object of the present invention is to provide a method capable of easily forming a polycrystalline semiconductor thin film such as polycrystalline silicon with a high crystallization rate and a high quality over a large area at a low cost, and an apparatus for implementing the method. Is to provide.

【0008】本発明の他の目的は、こうした多結晶性半
導体薄膜を構成部分として有するMOSTFT等の半導
体装置の製造方法と、この方法を実施する装置、及び電
気光学装置を提供することにある。
It is another object of the present invention to provide a method of manufacturing a semiconductor device such as a MOSTFT having such a polycrystalline semiconductor thin film as a constituent part, an apparatus for performing the method, and an electro-optical device.

【0009】[0009]

【課題を解決するための手段】即ち、本発明は、基体上
に多結晶性半導体薄膜を形成するに際し、或いは基体上
に多結晶性半導体薄膜を有する半導体装置を製造するに
際し、原料ガスを加熱された触媒体に接触させ、これに
よって生成した反応種を基体上に堆積させて、低級結晶
性半導体薄膜を形成する気相成長工程と、水素又は水素
含有ガスを加熱された触媒体に接触させ、これによって
生成した活性種を前記低級結晶性半導体薄膜に作用させ
て、前記低級結晶性半導体薄膜の結晶化を促進するアニ
ール工程とを繰り返すことによって前記多結晶性半導体
薄膜を得る、多結晶性半導体薄膜の形成方法又は半導体
装置の製造方法に係るものである。
That is, the present invention relates to a method of forming a polycrystalline semiconductor thin film on a substrate or manufacturing a semiconductor device having a polycrystalline semiconductor thin film on a substrate by heating a source gas. Contacting the catalyzed body, and depositing the reactive species generated on the substrate to form a lower crystalline semiconductor thin film, and contacting hydrogen or a hydrogen-containing gas with the heated catalyzer. Obtaining the polycrystalline semiconductor thin film by repeating the annealing step of causing the active species generated thereby to act on the lower crystalline semiconductor thin film and promoting crystallization of the lower crystalline semiconductor thin film. The present invention relates to a method for forming a semiconductor thin film or a method for manufacturing a semiconductor device.

【0010】また、本発明は、本発明の方法を実施する
装置として、原料ガス供給手段と、水素又は水素含有ガ
ス供給手段と、触媒体と、触媒体加熱手段と、基体加熱
手段と、前記原料ガス、及び前記水素又は水素含有ガス
を加熱された前記触媒体に接触させて生成した反応種を
前記基体上に堆積させることにより低級結晶性半導体薄
膜を形成する気相成長工程と、前記水素又は水素含有ガ
スを加熱された前記触媒体に接触させて生成した活性種
を前記低級結晶性半導体薄膜に作用させることにより前
記低級結晶性半導体薄膜の結晶化を促進するアニール工
程とを交互に行うために、前記原料ガス供給手段と前記
水素又は水素含有ガス供給手段とを制御する制御手段と
を有する、多結晶性半導体薄膜の形成装置、又は半導体
装置の製造装置を提供するものである。
The present invention also provides an apparatus for performing the method of the present invention, wherein a raw material gas supply means, a hydrogen or hydrogen-containing gas supply means, a catalyst, a catalyst heating means, a substrate heating means, A gas phase growth step of forming a lower crystalline semiconductor thin film by depositing a reactive species generated by contacting a source gas and the hydrogen or a hydrogen-containing gas with the heated catalyst body on the substrate; Alternatively, an annealing step of promoting crystallization of the lower crystalline semiconductor thin film by causing active species generated by bringing a hydrogen-containing gas into contact with the heated catalyst to act on the lower crystalline semiconductor thin film is performed alternately. An apparatus for forming a polycrystalline semiconductor thin film or an apparatus for manufacturing a semiconductor device, comprising: a source gas supply unit and a control unit that controls the hydrogen or hydrogen-containing gas supply unit. It is intended to provide.

【0011】また、本発明は、各色用の有機又は無機エ
レクトロルミネセンス層の下層にそれぞれ、前記多結晶
性半導体薄膜からなるMOSTFTのドレイン又はソー
スと接続された陰極又は陽極を有し、前記MOSTFT
を含む能動素子上も前記陰極が覆い、或いは前記各色用
の有機又は無機エレクトロルミネセンス層の各層上及び
各層間の全面に前記陰極又は陽極が被着されている電気
光学装置を提供するものである。
The present invention also provides a cathode and an anode connected to a drain or a source of a MOSTFT made of the polycrystalline semiconductor thin film, respectively, below an organic or inorganic electroluminescence layer for each color.
The present invention provides an electro-optical device in which the cathode is also covered on the active element including, or the cathode or the anode is attached to the entire surface of each of the organic or inorganic electroluminescent layers for each color and between the layers. is there.

【0012】また、本発明は、フィールドエミッション
ディスプレイ(FED)装置のエミッタが、前記多結晶
性半導体薄膜からなるMOSTFTのドレインに前記多
結晶性半導体薄膜を介して接続されると共に前記多結晶
性半導体薄膜上に成長されたn型多結晶性半導体膜又は
多結晶性ダイヤモンド膜によって形成されている電気光
学装置も提供するものである。
The present invention also relates to a field emission display (FED) device, wherein an emitter is connected to a drain of a MOSTFT made of the polycrystalline semiconductor thin film via the polycrystalline semiconductor thin film and the polycrystalline semiconductor thin film is formed. An electro-optical device formed by an n-type polycrystalline semiconductor film or a polycrystalline diamond film grown on a thin film is also provided.

【0013】本発明によれば、基体上に多結晶性半導体
薄膜を形成するに際し、従来の触媒CVD法の如くに原
料ガスを加熱された触媒体に接触させ、これによって生
成した反応種を基体上に堆積させて、低級結晶性半導体
薄膜を気相成長させる工程と、水素又は水素含有ガスを
加熱された触媒体に接触させ、これによって生成した活
性種を前記低級結晶性半導体薄膜に作用させて、前記低
級結晶性半導体薄膜の結晶化を促進するアニールする工
程とを繰り返しているので、次の(1)〜(4)に示す
ような顕著な作用効果が得られる。
According to the present invention, when a polycrystalline semiconductor thin film is formed on a substrate, a raw material gas is brought into contact with a heated catalyst body as in a conventional catalytic CVD method, and a reaction species generated by the contact is supplied to the substrate. Depositing on it, vapor-growing a lower crystalline semiconductor thin film, and contacting hydrogen or a hydrogen-containing gas with a heated catalyst to cause active species generated thereby to act on the lower crystalline semiconductor thin film. Then, the annealing step for promoting the crystallization of the lower crystalline semiconductor thin film is repeated, so that the following remarkable functions and effects (1) to (4) can be obtained.

【0014】(1)加熱された触媒体に原料ガスを接触
させて生成した反応種(堆積種又はその前駆体及びラジ
カルイオン)を基体上に堆積させているので、低級結晶
性半導体薄膜を効率良く形成することができると共に、
この低級結晶性半導体薄膜に対して、加熱された触媒体
による高真空度下での熱分解反応及び接触分解反応によ
り多量に発生した高温の水素系分子、水素系原子、活性
水素イオン等の水素系活性種を吹き付け等で作用させて
いるので、高温の熱触媒体の輻射熱による加熱も加わっ
て、次の顕著な効果を示す。
(1) Since a reactive species (deposited species or its precursor and radical ions) generated by bringing a raw material gas into contact with a heated catalyst body is deposited on a substrate, a lower crystalline semiconductor thin film can be efficiently produced. Can be formed well,
High-temperature hydrogen-based molecules, hydrogen-based atoms, active hydrogen ions, and other hydrogen generated in large quantities by the thermal decomposition reaction and the catalytic decomposition reaction under high vacuum with a heated catalyst body on this lower crystalline semiconductor thin film Since the system active species is caused to act by spraying or the like, the following remarkable effects are exhibited due to the addition of heating by the radiant heat of the high-temperature thermal catalyst.

【0015】1.上記の水素系活性種のラジカル作用に
より、熱エネルギーが膜に移動して局部的に温度上昇さ
せ、低級結晶性半導体薄膜は、アモルファス成分がエッ
チングされて結晶化が促進され、大粒径の高結晶化率の
多結晶性膜化し、かつその結晶粒界に存在する結晶不整
及び内部応力を低減させ、高キャリア移動度、高品質の
多結晶性半導体薄膜を得ることができる。しかも、多結
晶性シリコン又は微結晶シリコン膜上又は膜内にシリコ
ン酸化物が存在したときに、これと反応してSiOを生
成して蒸発させるので、その膜上又は膜内のシリコン酸
化物を減少/除去させることができ、高キャリア移動
度、高品質の多結晶性シリコン膜等を得ることができ
る。
1. Due to the radical action of the hydrogen-based active species, thermal energy moves to the film and locally raises the temperature. In the lower crystalline semiconductor thin film, the crystallization is promoted by etching the amorphous component, and the high-grain high-grain semiconductor film has a large grain size. It is possible to form a polycrystalline film having a crystallization rate, reduce crystal irregularities and internal stress existing at the crystal grain boundaries, and obtain a polycrystalline semiconductor thin film having high carrier mobility and high quality. In addition, when silicon oxide is present on or in the polycrystalline silicon or microcrystalline silicon film, it reacts with the silicon oxide to generate and evaporate SiO, so that the silicon oxide on or in the film is removed. It can be reduced / removed, and a high-carrier mobility, high-quality polycrystalline silicon film or the like can be obtained.

【0016】そして、微結晶シリコン含有アモルファス
シリコン膜、アモルファスシリコン含有微結晶シリコン
膜などは、その微結晶シリコンをシードとして再結晶化
が促進され、大粒径の多結晶性シリコン膜化する。しか
も、その膜に含有されるアモルファス構造のシリコンが
活性水素イオン等で還元(エッチング)されるので、高
結晶化率の多結晶性膜が形成される。こうした活性水素
イオン等の水素系活性種による処理を以下に触媒AHA
(Atomic Hydrogen Anneal)処理と称する。
The recrystallization of the microcrystalline silicon-containing amorphous silicon film, the amorphous silicon-containing microcrystalline silicon film, and the like is promoted by using the microcrystalline silicon as a seed to form a polycrystalline silicon film having a large grain size. Moreover, since the amorphous silicon contained in the film is reduced (etched) by active hydrogen ions or the like, a polycrystalline film having a high crystallization ratio is formed. The treatment with hydrogen-based active species such as active hydrogen ions is described below as Catalyst AHA.
(Atomic Hydrogen Anneal) processing.

【0017】2.この触媒AHA処理時に、低級結晶性
半導体薄膜中に存在するキャリア不純物は高温で活性化
され、各領域において最適なキャリア不純物濃度を得
る。
2. During this catalytic AHA treatment, the carrier impurities present in the lower crystalline semiconductor thin film are activated at a high temperature, and an optimum carrier impurity concentration is obtained in each region.

【0018】3.また、活性化水素イオン等の水素系活
性種によるクリーニング(基板等への吸着ガス及び有機
物残渣等の還元除去)が可能であり、触媒体も酸化劣化
し難くなる(なお、このような効果は、上記の触媒CV
D時も水素系キャリアガスを用いることから、同様に生
じる)。
3. In addition, cleaning (reduction and removal of an adsorbed gas and organic residues on a substrate or the like) by a hydrogen-based active species such as activated hydrogen ions is possible, and the catalyst is less likely to be oxidized and deteriorated (this effect is not significant). , The above catalyst CV
The same occurs in the case of D since a hydrogen-based carrier gas is used).

【0019】4.活性化水素イオン等の水素系活性種の
水素化作用により、半導体膜中の例えばシリコンダング
リングボンドをなくし、特性が向上する。
4. The hydrogenation of hydrogen-based active species such as activated hydrogen ions eliminates, for example, silicon dangling bonds in the semiconductor film and improves the characteristics.

【0020】(2)こうして触媒AHA処理された多結
晶性膜上に更に低級結晶性半導体薄膜を気相成長させる
工程を目的とする膜厚となるまで繰り返すので、この半
導体薄膜は既に触媒AHA処理で多結晶化された下地膜
上に多結晶化され易い状態で成長し易くなり、目的とす
る高結晶化率、高品質の多結晶性半導体薄膜を所定の膜
厚で得ることができる。即ち、触媒CVDと触媒AHA
処理を繰り返すマルチ触媒AHA処理により、例えば触
媒CVDで成膜された微結晶シリコン含有アモルファス
シリコン膜、アモルファスシリコン及び微結晶シリコン
含有多結晶シリコン膜を触媒AHA処理で多結晶性シリ
コン膜化し、更にこの多結晶シリコンをシードとした触
媒CVDで多結晶性シリコン膜の気相成長、更には触媒
AHA処理を繰り返すので、高結晶化率、大粒径の多結
晶性シリコン膜を形成することができる。
(2) The step of vapor-phase growing a lower crystalline semiconductor thin film on the polycrystalline film thus treated with the catalyst AHA is repeated until the target film thickness is reached. Thus, the polycrystalline semiconductor thin film can be easily grown on the polycrystalline base film in a state of being easily polycrystallized, and a desired high crystallization rate and high quality polycrystalline semiconductor thin film can be obtained with a predetermined thickness. That is, catalytic CVD and catalytic AHA
By a multi-catalyst AHA process that repeats the process, for example, a microcrystalline silicon-containing amorphous silicon film formed by, eg, catalytic CVD, an amorphous silicon film and a microcrystalline silicon-containing polycrystalline silicon film are converted into a polycrystalline silicon film by a catalytic AHA process. Since the vapor phase growth of the polycrystalline silicon film and the catalytic AHA treatment are repeated by catalytic CVD using polycrystalline silicon as a seed, a polycrystalline silicon film having a high crystallization rate and a large grain size can be formed.

【0021】(3)この触媒CVD及び触媒AHA処理
はいずれも、プラズマの発生なしに行えるので、プラズ
マによるダメージがなく、低ストレスの生成膜が得ら
れ、またプラズマCVD法に比べ、シンプルで安価な装
置を実現できる。
(3) Since both the catalytic CVD and the catalytic AHA treatment can be performed without generation of plasma, a plasma-damage-free, low-stress formed film can be obtained, and the method is simpler and less expensive than the plasma CVD method. Device can be realized.

【0022】(4)基体温度を低温化しても反応種のエ
ネルギーが大きいために、目的とする良質の膜が得られ
ることから、基体温度を低温化でき、従って大型で安価
な絶縁基板(ガラス基板、耐熱性樹脂基板等)を使用で
き、この点でもコストダウンが可能となる。
(4) Even if the temperature of the substrate is lowered, the energy of the reactive species is large, so that a desired high-quality film can be obtained. Therefore, the temperature of the substrate can be lowered, and a large and inexpensive insulating substrate (glass) Substrate, heat-resistant resin substrate, etc.), and in this regard, cost can be reduced.

【0023】なお、本発明において、上記の低級結晶性
半導体薄膜とは、後述の定義のようにアモルファス成分
を含有する微結晶をベースとした構造又は微結晶を含有
するアモルファス(非晶質)をベースとした構造からな
り、上記の多結晶性半導体薄膜は、そうしたアモルファ
ス成分が除去された大粒径(グレインサイズでは通常、
数100nm以上)の多結晶をベースとした微結晶も含
有する構造からなる。
In the present invention, the lower crystalline semiconductor thin film refers to a structure based on microcrystals containing an amorphous component or amorphous (amorphous) containing microcrystals as defined below. The polycrystalline semiconductor thin film described above has a large grain size (such as a grain size,
(Several hundred nm or more).

【0024】[0024]

【発明の実施の形態】本発明の方法及びその装置におい
ては、望ましくは融点未満の温度(例えば1600〜1
800℃)に加熱された前記触媒体に、前記原料ガス及
び水素系キャリアガスの少なくとも一部を接触させて触
媒的に分解させ、これによって生成したラジカル、イオ
ン等の反応種を加熱された前記基体上に堆積させて前記
低級結晶性半導体薄膜を触媒CVDにより気相成長させ
た後、前記原料ガスの供給を停止し、加熱触媒体(これ
は前記触媒体と同一物であるのがよいが、別のものであ
ってもよい。)に前記水素系キャリアガスの少なくとも
一部を接触させ、これによって生成した高温の水素系分
子、水素系原子、活性化水素イオン等の水素系活性種を
前記低級結晶性半導体薄膜に作用させて触媒AHA処理
による前記アニールを行うのがよい。
DESCRIPTION OF THE PREFERRED EMBODIMENTS In the method and the apparatus according to the present invention, it is desirable that the temperature be lower than the melting point (for example, 1600 to 1).
(800 ° C.), the raw material gas and at least a part of the hydrogen-based carrier gas are brought into contact with the catalyst body to be catalytically decomposed, and the generated reactive species such as radicals and ions are heated. After the lower crystalline semiconductor thin film is deposited on a substrate and the lower crystalline semiconductor thin film is vapor-phase grown by catalytic CVD, the supply of the raw material gas is stopped and a heated catalyst (this is preferably the same as the catalyst). ), At least a portion of the hydrogen-based carrier gas, and the resulting hydrogen-based active species such as high-temperature hydrogen-based molecules, hydrogen-based atoms, and activated hydrogen ions. The annealing by catalytic AHA treatment is preferably performed by acting on the lower crystalline semiconductor thin film.

【0025】この場合、前記気相成長時の水素又は水素
含有ガス供給量よりも前記アニール時の水素又は水素含
有ガス供給量を多くする。例えば、気相成長時に用いる
水素系キャリアガスは水素又は水素と不活性ガス(熱伝
導性が良好であって反応性向上に寄与するアルゴン、ヘ
リウム、キセノン、クリプトン、ラドン等)との混合ガ
スであり、混合ガスの場合は水素含有比率は50モル%
以上とすることによって触媒体の酸化劣化を防止でき
る。また、触媒AHA処理時に用いる水素又は水素含有
ガスは、気相成長時の水素系キャリアガスと同様であっ
てよいが、例えばガス流量300〜1000SCCM
(Standard cc per minute)、ガス圧10〜50Paと
大きくし(触媒CVDのときのガス圧は0.1〜数P
a)、ガスによる熱伝導の増大とラジカル水素イオン発
生量の増大を図るのがよい。
In this case, the supply amount of hydrogen or the hydrogen-containing gas during the annealing is set to be larger than the supply amount of hydrogen or the hydrogen-containing gas during the vapor phase growth. For example, the hydrogen-based carrier gas used during vapor phase growth is hydrogen or a mixed gas of hydrogen and an inert gas (argon, helium, xenon, krypton, radon, etc., which have good thermal conductivity and contribute to the improvement of reactivity). In the case of mixed gas, the hydrogen content ratio is 50 mol%
With the above, oxidation deterioration of the catalyst body can be prevented. The hydrogen or the hydrogen-containing gas used in the catalyst AHA treatment may be the same as the hydrogen-based carrier gas used in the vapor phase growth.
(Standard cc per minute) and increase the gas pressure to 10 to 50 Pa (the gas pressure during catalytic CVD is 0.1 to several P
a) It is preferable to increase the heat conduction by the gas and the amount of radical hydrogen ions generated.

【0026】前記触媒CVDによる上記の気相成長は、
具体的には、前記触媒体を800〜2000℃の範囲で
あってその融点未満の温度に加熱し(例えば触媒体に通
電してそれ自体の抵抗加熱によって加熱し)、この加熱
された触媒体により前記原料ガス及び前記水素又は水素
含有ガスの少なくとも一部を触媒反応又は熱分解反応さ
せて生成した前記反応種を原料種として、200〜80
0℃に加熱した基板上に薄膜を堆積させることができ
る。このような触媒体温度や下記の触媒体材質は触媒A
HA処理時も同様である。
The above-mentioned vapor phase growth by catalytic CVD is as follows:
Specifically, the catalyst body is heated to a temperature in the range of 800 to 2000 ° C. and lower than its melting point (for example, the catalyst body is heated by its own resistance heating), and the heated catalyst body is heated. The reaction species generated by subjecting at least a part of the raw material gas and the hydrogen or the hydrogen-containing gas to a catalytic reaction or a thermal decomposition reaction as a raw material species,
A thin film can be deposited on a substrate heated to 0 ° C. Such a catalyst body temperature and the following catalyst body material are catalyst A
The same applies to the HA processing.

【0027】ここで、触媒体の加熱温度が800℃未満
であると、原料ガスの触媒反応又は熱分解反応が不十分
となって堆積速度が低下し易く、また2000℃を超え
ると触媒体の構成材料が堆積膜中に混入して膜の電気的
特性を阻害し、膜質低下を生じ、また、触媒体の融点以
上の加熱は、その形態安定性が失われるので、回避する
のがよい。触媒体の加熱温度は、その構成材料の融点未
満であって1100℃〜1800℃であるのが好まし
い。
Here, if the heating temperature of the catalyst is lower than 800 ° C., the catalytic reaction or the thermal decomposition reaction of the raw material gas becomes insufficient and the deposition rate tends to decrease. Constituent materials are mixed into the deposited film to inhibit the electrical properties of the film, resulting in deterioration of the film quality, and heating above the melting point of the catalytic body loses its morphological stability. The heating temperature of the catalyst body is lower than the melting point of the constituent material and is preferably 1100 ° C to 1800 ° C.

【0028】触媒体は、タングステン、トリア含有タン
グステン、モリブデン、白金、パラジウム、バナジウ
ム、シリコン、アルミナ、金属を付着したセラミック
ス、及び炭化ケイ素からなる群より選ばれた少なくとも
1種の材料によって形成することができる。
The catalyst body is formed of at least one material selected from the group consisting of tungsten, thoria-containing tungsten, molybdenum, platinum, palladium, vanadium, silicon, alumina, ceramics to which metal is attached, and silicon carbide. Can be.

【0029】そして、この触媒体及びこれを支持する支
持体の純度を99.99wt%(4N)以上、好ましく
は99.999wt%(5N)又はそれ以上とすること
によって、形成される多結晶性半導体薄膜の重金属汚染
を低減することができる。
The catalyst and the support for supporting the catalyst have a purity of 99.99 wt% (4 N) or more, preferably 99.999 wt% (5 N) or more, thereby forming polycrystalline material. Heavy metal contamination of the semiconductor thin film can be reduced.

【0030】また、基板温度は、200〜800℃が好
ましく、より好ましくは300〜400℃とすれば、効
率的で高品質の成膜を行なえる。基板温度が高いと、安
価なほうけい酸ガラス、アルミノけい酸ガラスが使用で
きなくなり、また熱の影響によって不純物のドーピング
濃度分布が変化し易くなる。
The substrate temperature is preferably 200 to 800 ° C., and more preferably 300 to 400 ° C., so that efficient and high quality film formation can be performed. When the substrate temperature is high, inexpensive borosilicate glass and aluminosilicate glass cannot be used, and the doping concentration distribution of impurities tends to change due to the influence of heat.

【0031】通常の熱CVD法で多結晶性シリコン膜を
形成する場合には、基板温度を約600〜900℃とす
る必要があるが、本発明に基づく成膜では、プラズマや
光励起を必要とせずに、上記のような低温での熱CVD
が可能となることが極めて有利である。本発明に基づく
触媒CVD時の基板温度が上記したように低いため、基
板、例えばガラス基板として、歪点が470〜670℃
と低いほうけい酸ガラスやアルミノけい酸ガラス等のガ
ラスを用いることができる。これは、安価で、薄板化が
容易であり、大型化(1m×1m以上)が可能であり、
また長尺ロール化されたガラス板を作製できる。例え
ば、長尺ロール化ガラス板上に、上記手法を用いて、薄
膜を連続して又は非連続に作製することができる。
When a polycrystalline silicon film is formed by a normal thermal CVD method, the substrate temperature needs to be about 600 to 900 ° C. However, in the film formation according to the present invention, plasma or photoexcitation is required. Instead, thermal CVD at low temperature as described above
It is very advantageous that this is possible. Since the substrate temperature during the catalytic CVD according to the present invention is low as described above, the strain point of the substrate, for example, a glass substrate is 470 to 670 ° C.
Glass such as low borosilicate glass or aluminosilicate glass can be used. This is inexpensive, easy to make thin, and large (1m x 1m or more) is possible,
Also, a long rolled glass plate can be manufactured. For example, a thin film can be continuously or discontinuously formed on a long rolled glass plate by using the above method.

【0032】本発明による触媒CVDによる気相成長に
使用する原料ガスは、水素化ケイ素又はその誘導体、水
素化ケイ素又はその誘導体と水素、酸素、窒素、ゲルマ
ニウム、炭素又はスズを含有するガスとの混合物、水素
化ケイ素又はその誘導体と周期表第III族又は第V族元
素からなる不純物を含有するガスとの混合物、水素化ケ
イ素又はその誘導体と水素、酸素、窒素、ゲルマニウ
ム、炭素又はスズを含有するガスと周期表第III族又は
第V族元素からなる不純物を含有するガスとの混合物等
が挙げられる。
The source gas used for the vapor phase growth by catalytic CVD according to the present invention is a mixture of silicon hydride or a derivative thereof, silicon hydride or a derivative thereof and a gas containing hydrogen, oxygen, nitrogen, germanium, carbon or tin. A mixture of silicon hydride or a derivative thereof and a gas containing an impurity composed of an element belonging to Group III or Group V of the periodic table, containing silicon hydride or a derivative thereof and hydrogen, oxygen, nitrogen, germanium, carbon, or tin And a gas containing an impurity composed of a Group III or Group V element in the periodic table.

【0033】上記の如き原料ガスを使用することによっ
て、微結晶シリコン含有アモルファスシリコン膜、微結
晶シリコン(アモルファスシリコン含有微結晶シリコ
ン)膜、アモルファスシリコン及び微結晶シリコン含有
多結晶シリコン膜、微結晶ゲルマニウム含有アモルファ
スゲルマニウム膜、微結晶ゲルマニウム(アモルファス
ゲルマニウム含有微結晶ゲルマニウム)膜、アモルファ
スゲルマニウム及び微結晶ゲルマニウム含有多結晶ゲル
マニウム膜、又はSixGe1-x(0<x<1)で示され
るアモルファスシリコンゲルマニウム膜からなる前記低
級結晶性半導体薄膜を形成することができる。この低級
結晶性半導体薄膜は、アモルファスをベースとし、また
微結晶を含む場合には粒径が10nm以下の微結晶が点
在するのがよい。
By using the raw material gas as described above, a microcrystalline silicon-containing amorphous silicon film, a microcrystalline silicon (amorphous silicon-containing microcrystalline silicon) film, an amorphous silicon and a microcrystalline silicon-containing polycrystalline silicon film, a microcrystalline germanium containing amorphous germanium film, a microcrystalline germanium (amorphous germanium containing microcrystalline germanium) film, an amorphous germanium and microcrystalline germanium containing polycrystalline germanium film, or Si x Ge 1-x amorphous silicon germanium represented by (0 <x <1) The lower crystalline semiconductor thin film made of a film can be formed. The lower crystalline semiconductor thin film is based on amorphous, and when containing microcrystals, it is preferable that microcrystals having a particle size of 10 nm or less are scattered.

【0034】そして、この低級結晶性半導体薄膜の成長
又は成長後に、錫、ゲルマニウム、鉛等のIV族元素の少
なくとも1種を合計が適量(例えば1018〜1020at
oms/cc)含有させ、この状態で触媒AHA処理に
よる前記アニール工程を行うと、この低級結晶性半導体
薄膜が多結晶化されるときに、多結晶性半導体の結晶粒
界(グレインバウンダリ)に存在する不整を低減し、そ
の膜ストレスを低減して高移動度、高品質の多結晶半導
体が得られ易くなる。このIV族元素は、原料ガス中にガ
ス成分として混合したり、或いはイオン注入又はイオン
ドーピングにより、低級結晶性半導体薄膜中に含有させ
ることができる。また、本発明により形成した多結晶性
半導体膜中の酸素、窒素、炭素濃度はそれぞれ1×10
19atoms/cc以下、好ましくは5×1018ato
ms/cc以下がよく、水素濃度は0.01原子%以上
が好ましい。
After the growth or growth of the lower crystalline semiconductor thin film, at least one of Group IV elements such as tin, germanium and lead is added in an appropriate amount (for example, 10 18 to 10 20 at).
oms / cc), and in this state, when the annealing step by the catalytic AHA treatment is performed, when the lower crystalline semiconductor thin film is polycrystallized, the lower crystalline semiconductor thin film is present at a grain boundary (grain boundary) of the polycrystalline semiconductor. And the film stress thereof is reduced, so that a high mobility and high quality polycrystalline semiconductor can be easily obtained. The group IV element can be mixed as a gas component in the source gas, or can be contained in the lower crystalline semiconductor thin film by ion implantation or ion doping. The oxygen, nitrogen and carbon concentrations in the polycrystalline semiconductor film formed according to the present invention are each 1 × 10
19 atoms / cc or less, preferably 5 × 10 18 atoms
ms / cc or less, and the hydrogen concentration is preferably 0.01 atomic% or more.

【0035】なお、前記原料ガスを供給する前に、前記
触媒体を水素系ガス雰囲気中で加熱処理することが望ま
しい。これは、原料ガスの供給前に触媒体を加熱する
と、触媒体の構成材料が放出され、これが成膜された膜
中に混入することがあるが、触媒体を水素系ガス雰囲気
中で加熱することによってそのような混入を解消するこ
とができる。従って、成膜室内を水素系ガスで充たした
状態で触媒体を加熱し、次いで水素系ガスをキャリアガ
スとして原料ガス(いわゆる反応ガス)を供給すること
がよい。
It is preferable that the catalyst is heated in a hydrogen-based gas atmosphere before supplying the raw material gas. This is because if the catalyst is heated before the supply of the raw material gas, the constituent materials of the catalyst are released and may be mixed into the formed film, but the catalyst is heated in a hydrogen-based gas atmosphere. This can eliminate such mixing. Therefore, it is preferable to heat the catalyst in a state where the film formation chamber is filled with a hydrogen-based gas, and then supply a source gas (a so-called reaction gas) using the hydrogen-based gas as a carrier gas.

【0036】前記触媒AHA処理は、前記低級結晶性半
導体薄膜中のアモルファス成分をエッチング除去して高
結晶化率、大粒径(特にグレインサイズが数100nm
以上)の多結晶をベースとする薄膜を得、かつ膜中のキ
ャリア不純物を活性化する処理であるが、その際、触媒
体温度は1600〜1800℃、基板−触媒体間の距離
は20〜50mmとし、処理時間を短縮する等、処理効
果向上のため、任意に変更してもよい。
In the catalytic AHA treatment, the amorphous component in the lower crystalline semiconductor thin film is removed by etching to obtain a high crystallization ratio and a large grain size (particularly, a grain size of several hundred nm).
The above is a process of obtaining a thin film based on polycrystal and activating the carrier impurities in the film. At this time, the catalyst temperature is 1600 to 1800 ° C., and the distance between the substrate and the catalyst is 20 to It may be arbitrarily changed in order to improve the processing effect such as shortening the processing time by 50 mm.

【0037】この触媒AHA処理で得られた前記多結晶
性半導体薄膜によって、MOSTFTのチャンネル、ソ
ース及びドレイン領域、又は配線、抵抗、容量又は電子
放出体等を形成することができる。この場合、前記チャ
ンネル、ソース及びドレイン領域の形成後に、これらの
領域に対し、この触媒AHA処理を施すと、上記のn型
又はp型不純物の活性化を行える。
With the polycrystalline semiconductor thin film obtained by the catalytic AHA treatment, a channel, a source and a drain region, a wiring, a resistor, a capacitor, an electron emitter and the like of a MOSTFT can be formed. In this case, after the formation of the channel, source and drain regions, if these regions are subjected to the catalytic AHA treatment, the above-mentioned n-type or p-type impurities can be activated.

【0038】また、多結晶性シリコン等の前記多結晶性
半導体薄膜中への外部からの酸素侵入を低減するため
に、多結晶性シリコン膜等内において内部から外部に向
って結晶粒径を小さくして高密度化するか、或いはアモ
ルファスシリコン等のアモルファス半導体膜又は微粒径
層とアモルファスシリコン等のアモルファス半導体膜で
前記多結晶性半導体薄膜を被覆するのがよい。この場
合、汎用フォトリソグラフィ&エッチング技術により、
微粒径層又はアモルファス半導体膜を除去し、大粒径層
(前記多結晶性半導体薄膜)とコンタクトしたソース、
ドレイン電極を形成することができる。
Further, in order to reduce the invasion of oxygen from the outside into the polycrystalline semiconductor thin film such as polycrystalline silicon, the crystal grain size is reduced from inside to outside in the polycrystalline silicon film or the like. It is preferable to cover the polycrystalline semiconductor thin film with an amorphous semiconductor film such as amorphous silicon or a fine grain size layer and an amorphous semiconductor film such as amorphous silicon. In this case, general-purpose photolithography and etching technology
A source in which the fine grain size layer or the amorphous semiconductor film is removed and the large grain size layer (the polycrystalline semiconductor thin film) is contacted;
A drain electrode can be formed.

【0039】本発明は、シリコン半導体装置、シリコン
半導体集積回路装置、シリコン−ゲルマニウム半導体装
置、シリコン−ゲルマニウム半導体集積回路装置、化合
物半導体装置、化合物半導体集積回路装置、炭化ケイ素
半導体装置、炭化ケイ素半導体集積回路装置、液晶表示
装置、有機又は無機エレクトロルミネセンス(EL)表
示装置、フィールドエミッションディスプレイ(FE
D)装置、発光ポリマー表示装置、発光ダイオード表示
装置、CCDエリア/リニアセンサ装置、MOSセンサ
装置、太陽電池装置用の薄膜を形成するのに好適であ
る。
The present invention relates to a silicon semiconductor device, a silicon semiconductor integrated circuit device, a silicon-germanium semiconductor device, a silicon-germanium semiconductor integrated circuit device, a compound semiconductor device, a compound semiconductor integrated circuit device, a silicon carbide semiconductor device, and a silicon carbide semiconductor integrated device. Circuit device, liquid crystal display device, organic or inorganic electroluminescence (EL) display device, field emission display (FE)
D) It is suitable for forming thin films for devices, light-emitting polymer displays, light-emitting diode displays, CCD area / linear sensor devices, MOS sensor devices, and solar cell devices.

【0040】この場合、内部回路及び周辺回路を有する
半導体装置、固体撮像装置、電気光学装置等の製造に際
し、これらの少なくとも一部を構成するMOSTFTの
チャンネル、ソース及びドレイン領域を前記多結晶性半
導体薄膜によって形成してよく、また周辺駆動回路一体
型の構成とすることもできる。
In this case, at the time of manufacturing a semiconductor device having an internal circuit and a peripheral circuit, a solid-state image pickup device, an electro-optical device, etc., the channel, source and drain regions of the MOSTFT constituting at least a part of these devices are made of the polycrystalline semiconductor. It may be formed of a thin film, or may be a structure integrated with a peripheral driving circuit.

【0041】また、各色用の有機又は無機エレクトロル
ミネセンス層(EL層)の下層にそれぞれ、前記MOS
TFTのドレイン又はソースと接続された陰極又は陽極
を有するEL素子構造とするのがよい。
Further, under the organic or inorganic electroluminescent layer (EL layer) for each color,
An EL element structure having a cathode or an anode connected to the drain or the source of the TFT is preferable.

【0042】この場合、前記MOSTFT及びダイオー
ド等の能動素子上も前記陰極が覆うようにすれば、陽極
が上部にある構造では発光面積が増大すると共に、陰極
の遮光作用で発光光が前記能動素子に入射してリーク電
流を発生させることを防止できる。また、前記各色用の
有機又は無機EL層の各層上及び各層間の全面に前記陰
極又は陽極が被着されるようにすれば、全面が陰極又は
陽極で覆われることにより、湿気に弱い有機EL層の劣
化や電極の酸化を防止して、長寿命、高品質、高信頼性
が可能となり、また陰極で覆われると放熱効果が高まる
ので、発熱による薄膜の構造変化(融解あるいは再結晶
化)が低減し、長寿命、高品質、高信頼性が可能とな
り、更にこれにより、高精度、高品質のフルカラーの有
機EL層を生産性良く形成できるので、コストダウンが
可能となる。
In this case, if the cathode also covers the active elements such as the MOSTFT and the diode, the light emitting area is increased in the structure having the anode on the upper part, and the light is emitted by the active element by the light shielding effect of the cathode. To generate a leak current. Further, if the cathode or the anode is attached to each of the organic or inorganic EL layers for the respective colors and the entire surface between the respective layers, the organic EL which is vulnerable to moisture is covered by the entire surface with the cathode or the anode. Long life, high quality, and high reliability can be prevented by preventing layer deterioration and electrode oxidation. Also, when covered with the cathode, the heat dissipation effect is enhanced, so the structural change of the thin film due to heat generation (melting or recrystallization) , And a long life, high quality, and high reliability can be achieved. Further, since a high-precision, high-quality, full-color organic EL layer can be formed with high productivity, the cost can be reduced.

【0043】また、前記各色用の前記有機又は無機EL
層間にクロム、二酸化クロム等のブラックマスク層を形
成すると、各色間又は画素間での光漏れを防ぎ、コント
ラストが向上する。
The organic or inorganic EL for each of the colors
When a black mask layer of chromium, chromium dioxide, or the like is formed between layers, light leakage between colors or between pixels is prevented, and contrast is improved.

【0044】本発明をフィールドエミッションディスプ
レイ(FED)装置に適用するときは、そのエミッタ
(電界放出カソード)を、前記多結晶性半導体薄膜を介
して前記MOSTFTのドレインに接続すると共に前記
多結晶性半導体薄膜上に成長されたn型多結晶性半導体
膜又は多結晶性ダイヤモンド膜によって形成するのがよ
い。
When the present invention is applied to a field emission display (FED) device, the emitter (field emission cathode) is connected to the drain of the MOSTFT via the polycrystalline semiconductor thin film and It is preferably formed by an n-type polycrystalline semiconductor film or a polycrystalline diamond film grown on a thin film.

【0045】この場合、前記MOSTFT、ダイオード
等の能動素子上にアース電位の金属遮蔽膜(これは、前
記FED装置のゲート引き出し電極と同一材料で同一工
程により形成すると、工程簡略化等の点で有利であ
る。)を形成すると、気密容器内にあるガスがエミッタ
から放出された電子により正イオン化されて絶縁層上に
チャージアップし、この正電荷が絶縁層下にある能動素
子に不要な反転層を形成したり、この反転層を介して余
分な電流が流れるために生じるエミッタ電流の暴走を防
止することができる。また、エミッタから放出された電
子の衝突により蛍光体が発光する際、この光によりTF
Tのゲートチャンネル内に電子、正孔が発生してリーク
電流が生じることも防止できる。
In this case, a metal shielding film having a ground potential is formed on the active element such as the MOSTFT and the diode by using the same material and the same process as the gate lead electrode of the FED device. In this case, the gas in the hermetic container is positively ionized by the electrons emitted from the emitter and charged up on the insulating layer, and this positive charge is unnecessary for the active element below the insulating layer to invert the inversion. A runaway of an emitter current caused by forming a layer or an excess current flowing through the inversion layer can be prevented. Also, when the phosphor emits light due to the collision of electrons emitted from the emitter, this light causes TF
It is also possible to prevent generation of electrons and holes in the T gate channel to cause a leak current.

【0046】次に、本発明を好ましい実施の形態につい
て更に詳細に説明する。
Next, preferred embodiments of the present invention will be described in more detail.

【0047】第1の実施の形態 図1〜図11について、本発明の第1の実施の形態を説
明する。
First Embodiment A first embodiment of the present invention will be described with reference to FIGS.

【0048】本実施の形態は、本発明をトップゲート型
の多結晶性シリコンCMOS(Complementary MOS)T
FTに適用したものである。
In this embodiment, a top gate type polycrystalline silicon CMOS (Complementary MOS) T
This is applied to FT.

【0049】<触媒CVD法とその装置>まず、本実施
の形態に用いる触媒CVD法及び触媒AHA処理につい
て説明する。触媒CVD法においては水素系キャリアガ
スとシランガス等の原料ガスとからなる反応ガスを加熱
されたタングステン等の触媒体に接触させ、これによっ
て生成したラジカルな堆積種又はその前駆体及び活性化
水素イオン等の水素系活性種に高いエネルギーを与え、
基板上にアモルファスシリコン含有微結晶シリコン等の
低級結晶性半導体薄膜を気相成長させる。そして、この
成膜後に原料ガスの供給を停止し、水素系キャリアガス
のみを供給することによって、低級結晶性半導体薄膜の
触媒AHA処理を行い(つまり、高温の水素分子、水素
原子、活性化水素イオン等の水素系活性種によりアモル
ファス成分のシリコンを還元エッチングし)、かつ、こ
れらの触媒AHA処理と触媒CVDとを繰り返して、所
定膜厚の多結晶性シリコン等の多結晶性半導体薄膜を得
る。
<Catalytic CVD Method and Apparatus> First, the catalytic CVD method and the catalytic AHA treatment used in the present embodiment will be described. In the catalytic CVD method, a reactive gas composed of a hydrogen-based carrier gas and a raw material gas such as silane gas is brought into contact with a heated catalyst such as tungsten, and the radical deposition species or its precursor generated thereby and activated hydrogen ions are generated. Giving high energy to hydrogen-based active species such as
A low-crystalline semiconductor thin film such as microcrystalline silicon containing amorphous silicon is vapor-phase grown on a substrate. Then, after the film formation, the supply of the source gas is stopped and only the hydrogen-based carrier gas is supplied to perform the catalytic AHA treatment of the lower crystalline semiconductor thin film (that is, high-temperature hydrogen molecules, hydrogen atoms, activated hydrogen, etc.). The amorphous silicon is reduced and etched by hydrogen-based active species such as ions), and the catalytic AHA treatment and the catalytic CVD are repeated to obtain a polycrystalline semiconductor thin film such as polycrystalline silicon having a predetermined thickness. .

【0050】この触媒CVD及び触媒AHA処理は、図
5〜図6に示す如き装置を用いて実施される。
The catalytic CVD and the catalytic AHA treatment are carried out using an apparatus as shown in FIGS.

【0051】この装置によれば、水素系キャリアガスと
水素化ケイ素(例えばモノシラン)等の原料ガス40
(及び必要に応じてB26やPH3などのドーピングガ
スも含む。)からなるガスは、供給導管41からシャワ
ーヘッド42の供給口(図示せず)を通して成膜室44
へ導入される。成膜室44の内部には、ガラス等の基板
1を支持するためのサセプタ45と、耐熱性の良い(望
ましくは触媒体46と同じか或いはそれ以上の融点を有
する材質の)シャワーヘッド42と、例えばコイル状の
タングステン等の触媒体46と、更には開閉可能なシャ
ッター47とがそれぞれ配されている。なお、図示はし
ないが、サセプタ45と成膜室44との間には磁気シー
ルが施され、また、成膜室44は前工程を行なう前室に
後続され、ターボ分子ポンプ等でバルブを介して排気さ
れる。
According to this apparatus, a hydrogen-based carrier gas and a source gas 40 such as silicon hydride (for example, monosilane) are used.
A gas composed of a doping gas such as B 2 H 6 or PH 3 (if necessary) is supplied from a supply conduit 41 through a supply port (not shown) of a shower head 42 to form a film formation chamber 44.
Is introduced to Inside the film forming chamber 44, a susceptor 45 for supporting the substrate 1 such as glass, and a shower head 42 having good heat resistance (preferably made of a material having a melting point equal to or higher than that of the catalyst body 46) are provided. For example, a coiled catalyst body 46 such as tungsten and a shutter 47 that can be opened and closed are provided. Although not shown, a magnetic seal is provided between the susceptor 45 and the film forming chamber 44, and the film forming chamber 44 follows the front chamber for performing the pre-process, and is provided via a valve by a turbo molecular pump or the like. Exhausted.

【0052】そして、基板1はサセプタ45内のヒータ
ー線等の加熱手段で加熱され、また触媒体46は例えば
抵抗線として融点以下(特に800〜2000℃、タン
グステンの場合は約1600〜1800℃)に加熱され
て活性化される。触媒体46の両端子は直流又は交流の
触媒体電源48に接続され、この電源からの通電により
所定温度に加熱される。
The substrate 1 is heated by a heating means such as a heater wire in the susceptor 45, and the catalyst body 46 is, for example, a resistance wire having a melting point or less (especially 800 to 2000 ° C., and about 1600 to 1800 ° C. for tungsten). Is activated by heating. Both terminals of the catalyst body 46 are connected to a DC or AC catalyst power supply 48, and are heated to a predetermined temperature by energization from the power supply.

【0053】触媒CVD法を実施するには、図5の状態
で、成膜室44内の真空度を1.33×10-4〜1.3
3×10-6Paとし、例えば水素系キャリアガス100
〜200SCCMを供給して、触媒体を所定温度に加熱
して活性化した後に、水素化ケイ素(例えばモノシラ
ン)ガス1〜20SCCM(及び必要に応じてB2
6や、PH3等のドーピングガスも適量含む。)からなる
反応ガス40を供給導管41からシャワーヘッド42の
供給口43を通して導入して、ガス圧を0.133〜1
3.3Pa、例えば1.33Paとする。ここで、水素
系キャリアガスは、水素、水素+アルゴン、水素+ヘリ
ウム、水素+ネオン、水素+キセノン、水素+クリプト
ン等の、水素に不活性ガスを適量混合させたガスであれ
ば、いずれでもよい(以下、同様)。尚、原料ガスの種
類によっては、必ずしも水素系キャリアガスは必要では
ない。
In order to carry out the catalytic CVD method, the degree of vacuum in the film forming chamber 44 is set to 1.33 × 10 −4 to 1.3 in the state shown in FIG.
3 × 10 −6 Pa, for example, a hydrogen-based carrier gas 100
200200 SCCM is supplied and the catalyst is heated to a predetermined temperature to activate the catalyst, and then silicon hydride (for example, monosilane) gas 1-20 SCCM (and B 2 H if necessary)
6 and also includes an appropriate amount doping gas such as PH 3. ) Is introduced from the supply conduit 41 through the supply port 43 of the shower head 42 to reduce the gas pressure to 0.133 to 1
The pressure is set to 3.3 Pa, for example, 1.33 Pa. Here, the hydrogen-based carrier gas may be any gas such as hydrogen, hydrogen + argon, hydrogen + helium, hydrogen + neon, hydrogen + xenon, hydrogen + krypton, which is a gas obtained by mixing an appropriate amount of an inert gas with hydrogen. Good (hereinafter the same). Note that the hydrogen-based carrier gas is not necessarily required depending on the type of the source gas.

【0054】そして、図6のようにシャッター47を開
け、原料ガス40の少なくとも一部を触媒体46と接触
して触媒的に分解させ、触媒分解反応または熱分解反応
によって、高エネルギーをもつシリコン等のイオン、ラ
ジカル等の反応種の集団(即ち、堆積種又はその前駆体
及びラジカル水素イオン)を形成する。こうして生成し
たイオン、ラジカル等の反応種50を高いエネルギーで
200〜800℃(例えば300〜400℃)に保持さ
れた基板1上にアモルファスシリコン含有微結晶シリコ
ン等の所定の膜として気相成長させる。
Then, as shown in FIG. 6, the shutter 47 is opened, and at least a part of the raw material gas 40 is brought into contact with the catalyst body 46 to be catalytically decomposed. A group of reactive species such as ions and radicals (ie, deposited species or a precursor thereof and radical hydrogen ions) is formed. The reactive species 50 such as ions and radicals generated in this manner are vapor-phase grown with high energy on the substrate 1 maintained at 200 to 800 ° C. (for example, 300 to 400 ° C.) as a predetermined film such as amorphous silicon-containing microcrystalline silicon. .

【0055】こうして、プラズマを発生することなく、
反応種に対し、触媒体46の触媒作用とその熱エネルギ
ーによるエネルギーを与えるので、反応ガスを効率良く
反応種に変えて、基板1上に均一に熱CVDで堆積する
ことができる。
Thus, without generating plasma,
Since the catalytic action of the catalyst body 46 and the energy by the thermal energy are given to the reactive species, the reactive gas can be efficiently converted to the reactive species and uniformly deposited on the substrate 1 by thermal CVD.

【0056】また、基板温度を低温化しても堆積種のエ
ネルギーが大きいために、目的とする良質の膜が得られ
ることから、基板温度を上記のように更に低温化でき、
大型で安価な絶縁基板(ほうけい酸ガラス、アルミノけ
い酸ガラス等のガラス基板、ポリイミド等の耐熱性樹脂
基板等)を使用でき、この点でもコストダウンが可能と
なる。
Even if the substrate temperature is lowered, the energy of the deposited species is large, so that a desired high-quality film can be obtained. Therefore, the substrate temperature can be further lowered as described above.
A large and inexpensive insulating substrate (a glass substrate such as borosilicate glass or aluminosilicate glass, a heat-resistant resin substrate such as polyimide, etc.) can be used, and the cost can be reduced in this regard.

【0057】また、勿論のことであるが、プラズマの発
生がないので、プラズマによるダメージがなく、低スト
レスの生成膜が得られると共に、プラズマCVD法に比
べ、はるかにシンプルで安価な装置が実現する。
Needless to say, since no plasma is generated, there is no damage by the plasma, a low stress generated film can be obtained, and a much simpler and less expensive device can be realized as compared with the plasma CVD method. I do.

【0058】この場合、減圧下(例えば0.133〜
1.33Pa)又は常圧下で操作を行なえるが、減圧タ
イプよりも常圧タイプの方がよりシンプルで安価な装置
が実現する。そして、常圧タイプでも従来の常圧CVD
と比べて密度、均一性、密着性のよい高品質膜が得られ
る。この場合も、減圧タイプよりも常圧タイプの方がス
ループットが大であり、生産性が高く、コストダウンが
可能である。
In this case, under reduced pressure (for example, 0.133
The operation can be performed at 1.33 Pa) or normal pressure, but a simpler and cheaper device is realized with the normal pressure type than with the reduced pressure type. And even the normal pressure type, the conventional normal pressure CVD
A high quality film having better density, uniformity and adhesion can be obtained. Also in this case, the normal pressure type has higher throughput, higher productivity, and cost reduction than the reduced pressure type.

【0059】上記の触媒CVDにおいて、触媒体46に
よる副射熱のために、基板温度は上昇するが、上記のよ
うに、必要に応じて基板加熱用ヒーター51を設置して
よい。また、触媒体46はコイル状(これ以外にメッシ
ュ、ワイヤー、多孔板状もよい。)としているが、更に
ガス流方向に複数段(例えば2〜3段)として、ガスと
の接触面積を増やすのがよい。なお、このCVDにおい
て、基板1をサセプタ45の下面においてシャワーヘッ
ド42の上方に配しているので、成膜室44内で生じた
パーティクルが落下して基板1又はその上の膜に付着す
ることがない。
In the above-described catalytic CVD, the substrate temperature rises due to the auxiliary heat generated by the catalyst body 46. However, as described above, the substrate heating heater 51 may be provided as necessary. Further, the catalyst body 46 has a coil shape (a mesh, a wire, or a perforated plate may be used in addition to the above shape). Is good. In this CVD, since the substrate 1 is disposed above the shower head 42 on the lower surface of the susceptor 45, particles generated in the film forming chamber 44 may fall and adhere to the substrate 1 or a film thereon. There is no.

【0060】<触媒AHA処理とその装置>そして、本
実施の形態においては、上記の装置をそのまま用い、触
媒CVDによるアモルファスシリコン含有微結晶シリコ
ン等の低級結晶性半導体薄膜の気相成長後に、モノシラ
ン等の原料ガスの供給を停止し、触媒CVD時よりも多
い流量で水素系キャリアガスのみを成膜室44内に供給
して、低級結晶性半導体薄膜に対して触媒AHA処理を
行い、その多結晶化のためのアニールを施し、かつ、こ
の触媒CVDと触媒AHA処理とを所定回数繰り返し
て、目的とする膜厚の多結晶シリコン等の多結晶性半導
体薄膜を形成する。
<Catalyst AHA Treatment and its Apparatus> In the present embodiment, the above apparatus is used as it is, and after the vapor phase growth of a low crystalline semiconductor thin film such as amorphous silicon-containing microcrystalline silicon by catalytic CVD, monosilane is removed. Supply of only a hydrogen-based carrier gas into the film forming chamber 44 at a higher flow rate than during the catalytic CVD to perform the catalytic AHA treatment on the lower crystalline semiconductor thin film. Annealing for crystallization is performed, and the catalytic CVD and the catalytic AHA treatment are repeated a predetermined number of times to form a polycrystalline semiconductor thin film such as polycrystalline silicon having a desired film thickness.

【0061】この触媒AHA処理は、加熱された触媒に
より分解、生成した水素系活性種により前記低級結晶性
半導体薄膜中のアモルファス成分をエッチング除去して
高結晶化率、大粒径(特にグレインサイズが数100n
m以上)の多結晶ベースとする薄膜を得、かつ膜中のキ
ャリア不純物を活性化する処理であるが、その際、触媒
体温度は1600〜1800℃、基板−触媒体間の距離
は20〜50mm、基板温度200〜800℃とし、処
理時間を10〜20分とし、また水素系キャリアガスは
上記したと同様に水素又は水素と不活性ガス(アルゴ
ン、ヘリウム、キセノン、クリプトン、ラドン等)との
混合ガスであり、混合ガスの場合は水素含有比率は50
モル%以上とすることによって触媒体の酸化劣化を防止
できる。また、触媒AHA処理時に用いる水素又は水素
含有ガスは、気相成長時の水素系キャリアガスと同様で
あってよいが、ガス流量300〜1000SCCM、ガ
ス圧10〜50Paと大きくし(触媒CVDのときは
0.1〜数Pa)、ガスによる熱伝導の増大とラジカル
水素イオン発生量の増大を図るのがよい。
In this catalytic AHA treatment, the amorphous component in the lower crystalline semiconductor thin film is removed by etching with the hydrogen-based active species decomposed and generated by the heated catalyst to obtain a high crystallization ratio and a large grain size (particularly, a grain size). Is several hundred n
m or more) to obtain a polycrystalline-based thin film and activate carrier impurities in the film. At this time, the catalyst temperature is 1600 to 1800 ° C., and the distance between the substrate and the catalyst is 20 to 50 mm, the substrate temperature is 200 to 800 ° C., the processing time is 10 to 20 minutes, and the hydrogen-based carrier gas is hydrogen or hydrogen and an inert gas (argon, helium, xenon, krypton, radon, etc.) as described above. And in the case of a mixed gas, the hydrogen content ratio is 50%.
When the content is at least mol%, oxidation deterioration of the catalyst body can be prevented. Further, the hydrogen or the hydrogen-containing gas used in the catalyst AHA treatment may be the same as the hydrogen-based carrier gas used in the vapor phase growth, but the gas flow rate is increased to 300 to 1000 SCCM and the gas pressure is increased to 10 to 50 Pa (for catalytic CVD). 0.1 to several Pa), it is preferable to increase the heat conduction by the gas and increase the amount of radical hydrogen ions generated.

【0062】図7は、上記の触媒CVDと触媒AHA処
理における上記水素系キャリアガス及び原料ガスの導入
時間及びタイミングを多結晶シリコン薄膜形成の場合に
ついて示し、また図8は、流量計(MFC)や調整弁な
どを組み込んだガス導入系を示す。
FIG. 7 shows the introduction time and timing of the hydrogen-based carrier gas and the source gas in the above-mentioned catalytic CVD and catalytic AHA processing in the case of forming a polycrystalline silicon thin film, and FIG. 8 shows a flow meter (MFC). Shows a gas introduction system that incorporates a control valve.

【0063】まず、成膜を行う前に、ゲートバルブを通
してチャンバ(成膜室)44内に基板1を搬入し、サセ
プタ45に載置し、次いで、排気系を作動させてチャン
バ44内を所定圧力まで排気するとともに、サセプタ4
5に内蔵されたヒーターを作動させて基板1を所定温度
まで加熱する。
First, before forming a film, the substrate 1 is loaded into a chamber (film forming chamber) 44 through a gate valve and placed on a susceptor 45, and then the exhaust system is operated to urge the inside of the chamber 44 to a predetermined level. Exhaust to the pressure and
The substrate 1 is heated to a predetermined temperature by operating a heater incorporated in the substrate 5.

【0064】そして、ガス導入系によって、まず水素系
キャリアガス300〜1000SCCM、例えば500
SCCMをチャンバ1内に導入する。導入された水素ガ
スの一部は、加熱触媒体46による接触分解反応により
活性化水素イオン等の水素系活性種となり、基板表面に
到達して、基板1の表面クリーニングを行う。その後に
水素系キャリアガスを150SCCMにする。
Then, depending on the gas introduction system, first, a hydrogen-based carrier gas of 300 to 1000 SCCM, for example, 500
The SCCM is introduced into the chamber 1. A part of the introduced hydrogen gas becomes a hydrogen-based active species such as activated hydrogen ions by a catalytic decomposition reaction by the heating catalyst 46, reaches the substrate surface, and cleans the surface of the substrate 1. Thereafter, the hydrogen-based carrier gas is set to 150 SCCM.

【0065】このように、チャンバ44内に水素系キャ
リアガスが供給されている状態で、ガス導入系を作動さ
せ、原料ガス(モノシラン15SCCM)をチャンバ4
4内に導入する。導入された原料ガスは、加熱触媒体4
6の熱触媒反応及び熱分解反応により堆積種が生成さ
れ、アモルファスシリコン含有微結晶シリコン薄膜等と
して基板表面に気相成長する。
As described above, while the hydrogen-based carrier gas is being supplied into the chamber 44, the gas introduction system is operated, and the raw material gas (monosilane 15 SCCM) is supplied to the chamber 4.
4 is introduced. The introduced raw material gas is used as the heating catalyst 4
The deposited species are generated by the thermal catalytic reaction and the thermal decomposition reaction of No. 6, and are vapor-phase grown on the substrate surface as an amorphous silicon-containing microcrystalline silicon thin film or the like.

【0066】その後、原料ガスの導入を停止して、チャ
ンバ44内から原料ガスを排出し、更に水素系キャリア
ガスのみを300〜1000SCCM、例えば500S
CCMの流量で導入する、これによって、加熱触媒体に
よる接触分解反応で生じた活性水素イオン等の水素系活
性種が上記のアモルファスシリコン含有微結晶シリコン
薄膜等の低級結晶性シリコン薄膜に作用してこれをエッ
チングし、アモルファス成分の除去された(多結晶化さ
れた)シリコンに変化させる。
Thereafter, the introduction of the source gas is stopped, the source gas is discharged from the chamber 44, and only the hydrogen-based carrier gas is supplied at 300 to 1000 SCCM, for example, 500 S
Hydrogen-based active species such as active hydrogen ions generated by the catalytic cracking reaction by the heated catalyst act on the lower crystalline silicon thin film such as the above amorphous silicon-containing microcrystalline silicon thin film. This is etched and changed into silicon (polycrystallized) from which the amorphous component has been removed.

【0067】こうして触媒AHA処理されたシリコン膜
上に、再び上記の触媒CVDを施し、多結晶シリコンを
シードとしてその上に多結晶性シリコン薄膜を成長さ
せ、更に触媒AHA処理、触媒CVDを繰り返して行う
ことにより、多結晶性シリコン薄膜の膜厚をコントロー
ルしつつ最終的には目的とする膜厚で高結晶化率、大粒
径の多結晶性シリコン薄膜を形成することができる。
The above-mentioned catalytic CVD is performed again on the silicon film subjected to the catalytic AHA treatment, a polycrystalline silicon thin film is grown thereon using polycrystalline silicon as a seed, and the catalytic AHA treatment and catalytic CVD are repeated. By doing so, a polycrystalline silicon thin film having a high crystallization ratio and a large grain size can be finally formed with a desired film thickness while controlling the film thickness of the polycrystalline silicon thin film.

【0068】このように、水素系活性種のラジカル作用
により、熱エネルギーが膜に移動して局部的に温度上昇
させ、低級結晶性半導体薄膜は、アモルファス成分がエ
ッチングされて結晶化が促進され、大粒径の多結晶膜化
し、かつその結晶粒界に存在する結晶不整及び内部応力
を低減させ、高移動度、高品質の多結晶性半導体薄膜を
得ることができ、しかも、多結晶シリコン又は微結晶シ
リコン膜上又は膜内にシリコン酸化物が存在したとき
に、これと還元反応してSiO等を生成して蒸発させる
ので、その膜上又は膜内のシリコン酸化物を減少/除去
させることができ、高キャリア移動度、高品質の多結晶
性シリコン膜等を得ることができる。
As described above, due to the radical action of the hydrogen-based active species, thermal energy moves to the film and locally raises the temperature. As a result, the amorphous component of the lower crystalline semiconductor thin film is etched, and crystallization is promoted. A polycrystalline film having a large grain size, and reducing the crystal irregularity and internal stress existing at the crystal grain boundaries, can obtain a high mobility, high quality polycrystalline semiconductor thin film, and furthermore, polycrystalline silicon or When silicon oxide is present on or in a microcrystalline silicon film, a reduction reaction is performed with the silicon oxide to generate SiO or the like and evaporate the silicon oxide, so that the silicon oxide on or in the film is reduced / removed. Thus, a high-carrier mobility, high-quality polycrystalline silicon film or the like can be obtained.

【0069】また、微結晶シリコン含有アモルファスシ
リコン膜、アモルファスシリコン含有微結晶シリコン膜
などは、その微結晶シリコンをシードとして再結晶化が
促進され、大粒径の多結晶性シリコン膜化する。しか
も、その膜に含有されるアモルファス構造のシリコンが
活性水素イオン等で還元(エッチング)されるので、高
結晶化率の多結晶性膜が形成される。
Recrystallization of a microcrystalline silicon-containing amorphous silicon film, an amorphous silicon-containing microcrystalline silicon film, and the like is promoted by using the microcrystalline silicon as a seed, and a large grain polycrystalline silicon film is formed. Moreover, since the amorphous silicon contained in the film is reduced (etched) by active hydrogen ions or the like, a polycrystalline film having a high crystallization ratio is formed.

【0070】そして、この触媒AHA処理時に、低級結
晶性半導体薄膜中に存在するキャリア不純物は高温で活
性化され、各領域において最適なキャリア不純物濃度を
得ることができ、また、高温の水素分子、水素原子及び
活性化水素イオンによるクリーニング(基板等への吸着
ガス及び有機物残渣等の還元除去)が可能であり、触媒
体も酸化劣化し難しくなり、更に水素化により、半導体
膜中の例えばシリコンダングリングボンドをなくし、特
性が向上する。
During the catalytic AHA treatment, the carrier impurities present in the lower crystalline semiconductor thin film are activated at a high temperature, and an optimum carrier impurity concentration can be obtained in each region. Cleaning by hydrogen atoms and activated hydrogen ions (reduction and removal of adsorbed gas and organic residue on a substrate or the like) is possible, and the catalyst body is also oxidized and deteriorated. Further, hydrogenation causes, for example, silicon dang in a semiconductor film. Eliminates ring bonds and improves properties.

【0071】こうした触媒AHA処理によるアニールと
低級結晶性半導体薄膜の触媒CVDによる気相成長とを
目的とする膜厚となるまで繰り返すことにより、この半
導体薄膜は既に触媒AHA処理で多結晶化された下地膜
上に多結晶化され易い状態で成長し易くなり、目的とす
る高結晶化率、高品質の多結晶性半導体薄膜を所定の膜
厚で得ることができる。即ち、触媒CVDと触媒AHA
処理を繰り返すマルチ触媒AHA処理により、例えば触
媒CVDで成膜された微結晶シリコン含有アモルファス
シリコン膜、アモルファスシリコン及び微結晶シリコン
含有多結晶シリコン等を触媒AHA処理で多結晶性シリ
コン膜化し、更にこの多結晶性シリコンをシードとした
触媒CVDで多結晶性シリコン膜の気相成長、更には触
媒AHA処理を繰り返すので、高結晶化率、大粒径の多
結晶性シリコン膜を形成することができる。
By repeating the annealing by the catalytic AHA treatment and the vapor-phase growth of the lower crystalline semiconductor thin film by catalytic CVD until the target film thickness is obtained, the semiconductor thin film has already been polycrystallized by the catalytic AHA treatment. It is easy to grow on the base film in a state of being easily polycrystallized, and a desired high crystallization ratio and high quality polycrystalline semiconductor thin film can be obtained with a predetermined thickness. That is, catalytic CVD and catalytic AHA
By the multi-catalyst AHA treatment which repeats the treatment, for example, a microcrystalline silicon-containing amorphous silicon film, amorphous silicon and microcrystalline silicon-containing polycrystalline silicon formed by catalytic CVD are converted into a polycrystalline silicon film by the catalytic AHA treatment. Since the vapor phase growth of a polycrystalline silicon film and the catalytic AHA treatment are repeated by catalytic CVD using polycrystalline silicon as a seed, a polycrystalline silicon film having a high crystallization rate and a large grain size can be formed. .

【0072】なお、上記の触媒CVD及び触媒AHA処
理はいずれも、プラズマの発生なしに行えるので、プラ
ズマによるダメージがなく、低ストレスの生成膜が得ら
れ、またプラズマCVD法に比べ、シンプルで安価な装
置を実現できる。
Since both the above-described catalytic CVD and catalytic AHA treatment can be performed without generating plasma, a plasma-damage-free, low-stress formed film can be obtained, and the method is simpler and less expensive than the plasma CVD method. Device can be realized.

【0073】図9は、本実施の形態による上記のマルチ
触媒AHA処理(触媒CVDと触媒AHA処理の繰り返
し)で得られた多結晶シリコン薄膜のラマンスペクトル
をその繰り返し回数等に応じて示すものである。この結
果によれば、触媒CVDによるアモルファスシリコンの
堆積(depo)時のガス流量をSiH4:H2=5:5
00SCCM、触媒温度=1800〜2000℃、基板
温度=400℃とし、触媒AHA処理の条件を各種と
し、繰り返し回数も変えたところ、この繰り返し回数を
多くし、かつ処理時間を長くし、処理時のH2流量を増
加させると、サンプル#1→#2→#3→#4の順に、
アモルファス(非晶質)や微結晶が減少し、多結晶層が
増加すること(即ち、大粒径化、高結晶化すること)が
明らかである。尚、ここで、AHA1は成膜前の基板表
面のクリーニング処理であり、本来の触媒AHA処理は
AHA2〜4である。
FIG. 9 shows the Raman spectrum of the polycrystalline silicon thin film obtained by the above-described multi-catalyst AHA treatment (repetition of catalytic CVD and catalytic AHA treatment) according to the present embodiment according to the number of repetitions and the like. is there. According to this result, the gas flow rate during the deposition (depo) of amorphous silicon by catalytic CVD was set to SiH 4 : H 2 = 5: 5.
00SCCM, catalyst temperature = 1800-2000 ° C., substrate temperature = 400 ° C., various conditions for the catalyst AHA treatment and the number of repetitions were changed, the number of repetitions was increased, and the processing time was increased. When the H 2 flow rate is increased, samples # 1 → # 2 → # 3 → # 4
It is clear that amorphous (amorphous) and microcrystals decrease and the polycrystalline layer increases (that is, the grain size increases and the crystallinity increases). Here, AHA1 is a cleaning treatment of the substrate surface before film formation, and the original catalytic AHA treatment is AHA2-4.

【0074】また、図10は、各サンプルについての結
晶化率を多結晶性シリコン中の微結晶の有無について比
較して示すものである。これによれば、結晶化率はサン
プル#1→#2→#3→#4の順に高くなり、かつ下地
が微結晶(Im)を含む方が高くなることが分かる。
FIG. 10 shows the crystallization ratio of each sample in comparison with the presence or absence of microcrystals in polycrystalline silicon. According to this, it can be seen that the crystallization ratio increases in the order of samples # 1 → # 2 → # 3 → # 4, and that the underlayer containing microcrystals (Im) increases.

【0075】これらの結果は、本発明に基づく処理が高
結晶化率、大粒径の多結晶性半導体薄膜の形成にとって
非常に優れた方法であることを示すものである。
These results show that the treatment according to the present invention is a very excellent method for forming a polycrystalline semiconductor thin film having a high crystallization rate and a large grain size.

【0076】なお、本実施の形態において、上記の触媒
CVDでは、例えば0.4mmφタングステンワイヤー
の触媒体及びこれを支持している例えば0.8mmφモ
リブデンワイヤーの支持体(図示せず)の純度が問題と
なるが、従来の純度:3N(99.9wt%)を4N
(99.99wt%)以上、好ましくは5N(99.9
99wt%)又はそれ以上に純度を上げることにより、
触媒CVDによる多結晶性シリコン膜中の鉄、ニッケ
ル、クロム等の重金属汚染を低減できることが実証され
ている。図11(A)は純度3Nでの膜中の鉄、ニッケ
ル、クロム等の重金属濃度を示すが、これを5Nに高め
ることによって図11(B)に示すように鉄、ニッケ
ル、クロム等の重金属濃度を大幅に減らせることが判明
した。これにより、TFT特性の向上が可能となる。
In the present embodiment, in the above-described catalytic CVD, the purity of the catalyst body of, for example, a 0.4 mmφ tungsten wire and the support of the, eg, 0.8 mmφ molybdenum wire (not shown) supporting the same are determined. Although there is a problem, the conventional purity: 3N (99.9 wt%) is changed to 4N.
(99.99 wt%) or more, preferably 5N (99.9 wt%).
99 wt%) or higher,
It has been demonstrated that heavy metal contamination such as iron, nickel, and chromium in a polycrystalline silicon film by catalytic CVD can be reduced. FIG. 11 (A) shows the concentration of heavy metals such as iron, nickel and chromium in the film at a purity of 3N. By increasing this to 5N, heavy metals such as iron, nickel and chromium as shown in FIG. It has been found that the concentration can be significantly reduced. Thereby, the TFT characteristics can be improved.

【0077】<トップゲート型CMOSTFTの製造>
次に、本実施の形態によるマルチ触媒AHA処理を用い
たトップゲート型CMOSTFTの製造例を示す。
<Manufacture of Top Gate Type CMOS TFT>
Next, an example of manufacturing a top gate type CMOS TFT using the multi-catalyst AHA process according to the present embodiment will be described.

【0078】まず、図1の(1)に示すように、石英ガ
ラス、結晶化ガラスなどの絶縁基板1の少なくともTF
T形成領域に、プラズマCVD、触媒CVD、減圧CV
D等の気相成長法により、保護用の窒化シリコン膜及び
酸化シリコン膜の積層膜からなる保護膜100を下記の
条件で形成する(以下、同様)。
First, as shown in FIG. 1A, at least TF of an insulating substrate 1 made of quartz glass, crystallized glass or the like is used.
Plasma CVD, catalytic CVD, reduced pressure CV in T formation area
A protective film 100 composed of a laminated film of a protective silicon nitride film and a silicon oxide film is formed under the following conditions by a vapor phase growth method such as D (the same applies hereinafter).

【0079】この場合、TFT形成のプロセス温度によ
ってガラス材質を使い分ける。 200〜500℃の低温の場合:ほうけい酸、アルミノ
けい酸ガラス等のガラス基板(500×600×0.5
〜1.1μm厚)、耐熱性樹脂基板を用いてもよい。 600〜1000℃の高温の場合:石英ガラス、結晶化
ガラス等の耐熱性ガラス基板(6〜12インチφ、70
0〜800μm厚)を用いてもよい。保護膜用の窒化シ
リコン膜はガラス基板からのNaイオンストップのため
に形成するが、合成石英ガラスを用いる場合は不要であ
る。
In this case, a glass material is selectively used depending on the process temperature of TFT formation. In the case of a low temperature of 200 to 500 ° C .: a glass substrate (500 × 600 × 0.5) of borosilicate, aluminosilicate glass, etc.
~ 1.1 µm thick), and a heat-resistant resin substrate may be used. In the case of a high temperature of 600 to 1000 ° C .: a heat-resistant glass substrate (6 to 12 inches φ, 70
0-800 μm thick). The silicon nitride film for the protective film is formed to stop Na ions from the glass substrate, but is not required when using synthetic quartz glass.

【0080】また、触媒CVDを用いる場合、図5及び
図6に示したと同様の装置が使用可能であるが、触媒体
の酸化劣化防止のために、水素系キャリアガスを供給し
て触媒体を所定温度(約1600〜1800℃、例えば
約1700℃)に加熱し、成膜後は触媒体を問題ない温
度まで冷却して水素系キャリアガスをカットする必要が
ある。
When the catalytic CVD is used, the same apparatus as shown in FIGS. 5 and 6 can be used. However, in order to prevent the catalytic body from being oxidized and deteriorated, a hydrogen-based carrier gas is supplied to remove the catalytic body. It is necessary to heat to a predetermined temperature (about 1600 to 1800 ° C., for example, about 1700 ° C.), and after forming the film, cool the catalyst to a temperature at which there is no problem to cut off the hydrogen-based carrier gas.

【0081】成膜条件としては、チャンバ内に水素系キ
ャリアガス(水素、アルゴン+水素、ヘリウム+水素、
ネオン+水素等)を常時流し、流量と圧力、サセプタ温
度を下記の所定の値に制御する。 チャンバ内圧力:1〜15Pa程度、例えば10Pa サセプタ温度:300℃ 水素系キャリアガス流量(混合ガスの場合、水素は20
〜30%):150SCCM
The film forming conditions are as follows. A hydrogen-based carrier gas (hydrogen, argon + hydrogen, helium + hydrogen,
Neon + hydrogen, etc.) is constantly flowed, and the flow rate, pressure, and susceptor temperature are controlled to the following predetermined values. Chamber pressure: about 1 to 15 Pa, for example, 10 Pa Susceptor temperature: 300 ° C. Hydrogen-based carrier gas flow rate (in the case of mixed gas, hydrogen is 20
3030%): 150 SCCM

【0082】また、窒化シリコン膜は、次の条件で50
〜200nmの厚みに形成する。H2をキャリアガスと
し、原料ガスとしてモノシラン(SiH4)にアンモニ
ア(NH3)を適量比率で混合して形成。H2流量:15
0SCCM、SiH4流量:15SCCM、NH3流量:
50SCCM
The silicon nitride film has a thickness of 50
It is formed to a thickness of 200 nm. Of H 2 as a carrier gas, formed monosilane (SiH 4) as a source gas and ammonia (NH 3) were mixed in an appropriate amount ratio. H 2 flow rate: 15
0 SCCM, SiH 4 flow rate: 15 SCCM, NH 3 flow rate:
50 SCCM

【0083】また、酸化シリコン膜は、次の条件で50
〜100nmの厚みに形成する。H2をキャリアガス、
原料ガスとしてモノシラン(SiH4)にHe希釈O2
適量比率で混合して形成。H2流量:150SCCM、
SiH4流量:15SCCM、He希釈O2流量:1〜2
SCCM
The silicon oxide film has a thickness of 50
It is formed to a thickness of about 100 nm. H 2 as carrier gas,
As a raw material gas, it is formed by mixing He diluted O 2 with monosilane (SiH 4 ) at an appropriate ratio. H 2 flow rate: 150 SCCM,
SiH 4 flow rate: 15 SCCM, He diluted O 2 flow rate: 1-2
SCCM

【0084】次いで、図1の(2)に示すように、本発
明に基づくマルチ触媒AHA処理によって、例えば周期
表IV族元素、例えば錫を1018〜1020atoms/c
cドープした(これはCVD時又は成膜後のイオン注入
によってドープしてよい。)多結晶性シリコン膜7を5
0nm厚に形成する。但し、この錫のドーピングは必ず
しも必要ではない(以下、同様)。
Next, as shown in FIG. 1 (2), the multi-catalyst AHA treatment according to the present invention allows, for example, a group IV element of the periodic table, for example, tin to be 10 18 to 10 20 atoms / c.
c-doped polycrystalline silicon film 7 (which may be doped by CVD or by ion implantation after film formation).
It is formed to a thickness of 0 nm. However, this tin doping is not always necessary (the same applies hereinafter).

【0085】この場合、図5及び図6に示した装置を用
い、上記の触媒CVDにより下記の条件で低級結晶性半
導体薄膜としての例えば錫ドープの低級結晶性シリコン
を気相成長させ、しかる後に下記の条件で触媒AHA処
理を行ってアニールし、低級結晶性シリコンを多結晶化
し、これらの触媒CVDと触媒AHA処理とを繰り返し
て50nm厚の多結晶性シリコン膜7を形成する。例え
ば、触媒CVDで10〜30nm厚の膜を成長させ、触
媒AHA処理後、触媒CVDで10〜30nm厚の膜を
成長させ、更に触媒AHA処理後に、触媒CVDで10
〜30nm厚の膜を成長させて、最終的に目的とする膜
厚の多結晶性シリコン膜を得る。
In this case, for example, tin-doped lower crystalline silicon as a lower crystalline semiconductor thin film is vapor-phase grown by the above-described catalytic CVD under the following conditions using the apparatus shown in FIG. 5 and FIG. Under the following conditions, the catalyst AHA treatment is performed and annealing is performed to polycrystallize the lower crystalline silicon, and the catalyst CVD and the catalyst AHA treatment are repeated to form a polycrystalline silicon film 7 having a thickness of 50 nm. For example, a film having a thickness of 10 to 30 nm is grown by catalytic CVD, a film having a thickness of 10 to 30 nm is grown by catalytic CVD after catalytic AHA treatment, and a film having a thickness of 10 to 30 nm is further treated by catalytic CVD.
A film having a thickness of about 30 nm is grown to finally obtain a polycrystalline silicon film having a desired film thickness.

【0086】触媒CVDによるアモルファスシリコン含
有微結晶シリコンの成膜:H2をキャリアガス、原料ガ
スとしてモノシラン(SiH4)、水素化錫(SnH4
を適量比率で混合して形成。H2流量:150SCC
M、SiH4流量:15SCCM、SnH4流量:15S
CCM。この時、原料ガスのシラン系ガス(シラン又は
ジシラン又はトリシラン等)に、n型のリン又はひ素又
はアンチモン等を適量混入したり、又はp型のボロン等
を適量混入することにより、任意のn又はp型不純物キ
ャリア濃度の錫含有シリコン膜を形成してもよい。 n型化の場合:PH3(ホスフィン)、AsH3(アルシ
ン)、SbH3(スチビン) p型化の場合:B26(ジボラン)
Film formation of amorphous silicon-containing microcrystalline silicon by catalytic CVD: H 2 as carrier gas, monosilane (SiH 4 ), tin hydride (SnH 4 ) as source gas
Is formed by mixing at appropriate ratios. H 2 flow rate: 150 SCC
M, SiH 4 flow rate: 15 SCCM, SnH 4 flow rate: 15 S
CCM. At this time, by mixing an appropriate amount of n-type phosphorus, arsenic, antimony, or the like, or a suitable amount of p-type boron, etc., into a silane-based gas (silane, disilane, trisilane, or the like) as a raw material gas, Alternatively, a tin-containing silicon film having a p-type impurity carrier concentration may be formed. For n-type: PH 3 (phosphine), AsH 3 (arsine), SbH 3 (stibine) For p-type: B 2 H 6 (diborane)

【0087】触媒AHA処理:触媒AHA処理は、触媒
CVDにおいて原料ガスを供給しない方法であり、具体
的には、減圧下で、水素系キャリアガスをガス流量30
0〜1000SCCM、ガス圧10〜50Paで供給し
て触媒体を所定温度(約1600〜1800℃、例えば
約1700℃)に加熱し、高温の水素分子/水素原子/
活性化水素イオンを発生させ、これらを基板上に形成し
た例えば錫含有のアモルファスシリコン含有微結晶シリ
コン膜に吹き付ける。これにより、高温の水素分子/水
素原子/活性化水素イオンが有する熱エネルギーがそれ
らの膜に移動して、それらの膜温度を上昇させ、錫含有
のアモルファスシリコン含有微結晶シリコン膜は結晶化
し、微結晶シリコン(又は多結晶性シリコン膜)は再結
晶化して、大粒径の錫含有多結晶性シリコン膜化し、そ
の結晶粒界に存在する不整及びストレスを低減し、高キ
ャリア移動度及び高品質の多結晶性シリコン膜を形成す
ることができる。
Catalytic AHA treatment: Catalytic AHA treatment is a method in which a raw material gas is not supplied in catalytic CVD. Specifically, a hydrogen-based carrier gas is supplied at a gas flow rate of 30 under reduced pressure.
The catalyst is heated to a predetermined temperature (about 1600 to 1800 ° C., for example, about 1700 ° C.) by supplying the catalyst at a temperature of 0 to 1000 SCCM and a gas pressure of 10 to 50 Pa, and the high temperature hydrogen molecule / hydrogen atom /
Activated hydrogen ions are generated and sprayed onto a microcrystalline silicon film containing, for example, tin-containing amorphous silicon formed on a substrate. As a result, the thermal energy of the high-temperature hydrogen molecules / hydrogen atoms / activated hydrogen ions is transferred to those films to increase their film temperatures, and the tin-containing amorphous silicon-containing microcrystalline silicon film is crystallized, The microcrystalline silicon (or polycrystalline silicon film) is recrystallized to form a tin-containing polycrystalline silicon film having a large grain size, reducing irregularities and stress existing at the crystal grain boundaries, and having high carrier mobility and high carrier mobility. A high-quality polycrystalline silicon film can be formed.

【0088】また、上記の水素系活性種は、微結晶シリ
コン等の膜上又は膜内にシリコン酸化物が存在したとき
にこれと還元反応してSiO等を生成し、蒸発させるの
で、それらの膜上又は膜内のシリコン酸化物を減少/除
去させることができ、高移動度及び高品質の多結晶性シ
リコン膜を形成できる。この触媒AHA処理を後述のゲ
ートチャンネル/ソース/ドレイン形成後に行うと、高
温の水素分子/水素原子/活性化水素イオンが有する熱
エネルギーがそれらの膜に移動して、それらの膜温度を
上昇させ、結晶化促進と同時にゲートチャンネル/ソー
ス/ドレインに注入されキャリア不純物(燐、ひ素、ボ
ロンイオン等)が活性化される。
The above-mentioned hydrogen-based active species, when silicon oxide is present on or in a film of microcrystalline silicon or the like, undergoes a reduction reaction to generate SiO or the like and evaporates it. Silicon oxide on or in the film can be reduced / removed, and a high mobility and high quality polycrystalline silicon film can be formed. When this catalytic AHA treatment is performed after the formation of the gate channel / source / drain described later, the thermal energy of the high-temperature hydrogen molecules / hydrogen atoms / activated hydrogen ions is transferred to the films, raising the film temperatures. At the same time as crystallization is promoted, carrier impurities (phosphorus, arsenic, boron ions, etc.) are injected into the gate channel / source / drain and activated.

【0089】なお、上記の各膜を同一のチャンバで形成
する場合は、水素系キャリアガスを常時供給し、触媒体
を所定温度に加熱してスタンバイをしておき、次のよう
に処理してよい。
When each of the above films is formed in the same chamber, a hydrogen-based carrier gas is constantly supplied, the catalyst is heated to a predetermined temperature, and a standby is performed. Good.

【0090】モノシランにアンモニアを適当比率で混合
して所定膜厚の窒化シリコン膜を形成し、前の原料ガス
を十分に排出した後に、連続してモノシランとHe希釈
2を適当比率で混合して所定膜厚の酸化シリコン膜を
形成し、前の原料ガス等を十分に排出した後に、連続し
てモノシランとSnH4を適量比率で混合して所定膜厚
の錫含有アモルファスシリコン含有微結晶シリコン膜を
形成し、前の原料ガスを十分に排出した後に、連続して
原料ガスをカットして触媒AHA処理により多結晶性シ
リコン膜を形成し、必要に応じて前の原料ガスを十分に
排出した後に、連続してモノシランとHe希釈O2を適
当比率で混合して所定膜厚の酸化シリコン膜を形成す
る。成膜後は原料ガスをカットし、触媒体を問題ない温
度まで冷却して水素系キャリアガスをカットする。この
時、絶縁膜形成時の原料ガスは傾斜減少又は傾斜増加さ
せて、傾斜接合の絶縁膜としてもよい。
Ammonia is mixed with monosilane at an appropriate ratio to form a silicon nitride film having a predetermined thickness. After sufficiently exhausting the previous source gas, monosilane and He-diluted O 2 are continuously mixed at an appropriate ratio. After a silicon oxide film having a predetermined thickness is formed and the previous source gas and the like are sufficiently discharged, monosilane and SnH 4 are continuously mixed at an appropriate ratio to form a microcrystalline silicon containing tin-containing amorphous silicon having a predetermined thickness. After the film is formed and the previous source gas is sufficiently discharged, the source gas is continuously cut to form a polycrystalline silicon film by catalytic AHA treatment, and the previous source gas is sufficiently discharged as necessary. After that, monosilane and He diluted O 2 are continuously mixed at an appropriate ratio to form a silicon oxide film having a predetermined thickness. After the film formation, the raw material gas is cut, and the catalyst body is cooled to a temperature at which there is no problem to cut the hydrogen-based carrier gas. At this time, the slope of the source gas at the time of forming the insulating film may be decreased or increased to form an inclined junction insulating film.

【0091】或いは、それぞれ独立したチャンバで形成
する場合は、各チャンバ内に水素系キャリアガスを常時
供給し、触媒体を所定温度に加熱してスタンバイしてお
き、次のように処理してよい。Aチャンバに移し、モノ
シランにアンモニアを適量比率で混合して所定膜厚の窒
化シリコン膜を形成する。次にBチャンバに移し、モノ
シランにHe希釈O2を適量比率で混合して酸化シリコ
ン膜を形成する。次にCチャンバに移し、モノシランと
SnH4を適量比率で混合して錫含有のアモルファスシ
リコン含有微結晶シリコン膜を形成し、連続して(或い
は別のチャンバで)水素系キャリアガスによる触媒AH
A処理により多結晶性シリコン膜を形成する。必要に応
じて次にBチャンバに移し、モノシランにHe希釈O2
を適量比率で混合して酸化シリコン膜を形成する。成膜
後は原料ガスをカットし、触媒体を問題ない温度まで冷
却して水素系キャリアガスをカットする。この時に、そ
れぞれのチャンバ内に水素系キャリアガスとそれぞれの
原料ガスを常時供給して、スタンバイの状態にしておい
てもよい。
Alternatively, when the chambers are formed in independent chambers, a hydrogen-based carrier gas may be constantly supplied into each chamber, the catalyst may be heated to a predetermined temperature, and a standby may be performed. . The wafer is transferred to the chamber A, and ammonia is mixed with monosilane in an appropriate ratio to form a silicon nitride film having a predetermined thickness. Next, the chamber is moved to the B chamber, and He-diluted O 2 is mixed with monosilane at an appropriate ratio to form a silicon oxide film. Next, the mixture is transferred to the C chamber, and monosilane and SnH 4 are mixed at an appropriate ratio to form a tin-containing amorphous silicon-containing microcrystalline silicon film, and the catalyst AH is continuously (or in another chamber) using a hydrogen-based carrier gas.
A process forms a polycrystalline silicon film. Then, if necessary, transfer to the B chamber and add He diluted O 2 to monosilane.
Are mixed at an appropriate ratio to form a silicon oxide film. After the film formation, the raw material gas is cut, and the catalyst body is cooled to a temperature at which there is no problem to cut the hydrogen-based carrier gas. At this time, the hydrogen-based carrier gas and the respective source gases may be constantly supplied into the respective chambers so as to be in a standby state.

【0092】そして次に、多結晶性シリコン膜7をソー
ス、チャンネル及びドレイン領域とするMOSTFTの
作製を行なう。
Then, a MOSTFT using the polycrystalline silicon film 7 as a source, a channel and a drain region is manufactured.

【0093】即ち、図2の(3)に示すように、汎用フ
ォトリソグラフィ及びエッチングにより多結晶性シリコ
ン膜7をアイランド化した後、nMOSTFT用のチャ
ンネル領域の不純物濃度制御によるしきい値(Vth)の
最適化のために、pMOSTFT部をフォトレジスト9
でマスクし、イオン注入又はイオンドーピングによりp
型不純物イオン(例えばボロンイオン)10を例えば5
×1011atoms/cm2のドーズ量でドーピング
し、1×1017atoms/ccのアクセプタ濃度に設
定し、多結晶性シリコン膜7の導電型をp型化した多結
晶性シリコン膜11とする。
That is, as shown in (3) of FIG. 2, after the polycrystalline silicon film 7 is formed into islands by general-purpose photolithography and etching, the threshold (V th) by controlling the impurity concentration of the channel region for the nMOS TFT is obtained. In order to optimize), the pMOSTFT part is replaced with a photoresist 9
Masked by ion implantation or ion doping.
Type impurity ions (for example, boron ions) 10
Doping is performed at a dose of × 10 11 atoms / cm 2 , the acceptor concentration is set to 1 × 10 17 atoms / cc, and the conductivity of the polycrystalline silicon film 7 is changed to a p-type polycrystalline silicon film 11. .

【0094】次いで、図2の(4)に示すように、pM
OSTFT用のチャンネル領域の不純物濃度制御による
thの最適化のために、今度はnMOSTFT部をフォ
トレジスト12でマスクし、イオン注入又はイオンドー
ピングによりn型不純物イオン(例えば燐イオン)13
を例えば1×1012atoms/cm2のドーズ量でド
ーピングし、2×1017atoms/ccのドナー濃度
に設定し、多結晶性シリコン膜7の導電型をn型化した
多結晶性シリコン膜14とする。
Next, as shown in FIG.
In order to optimize V th by controlling the impurity concentration of the channel region for the OSTFT, the nMOSTFT portion is masked with a photoresist 12 and n-type impurity ions (for example, phosphorus ions) 13 are ion-implanted or ion-doped.
Is doped at a dose of 1 × 10 12 atoms / cm 2 , for example, is set to a donor concentration of 2 × 10 17 atoms / cc, and the conductivity type of the polycrystalline silicon film 7 is changed to n-type. It is assumed to be 14.

【0095】次いで、図3の(5)に示すように、必要
あれば結晶化促進と膜中の不純物の活性化のために上記
の触媒AHA処理を行なった後、触媒CVD等によりゲ
ート絶縁膜の酸化シリコン膜(50nm厚)8を形成し
た後、ゲート電極材料としてのリンドープド多結晶シリ
コン膜15を例えば2〜20SCCMのPH3及び20
SCCMのモノシランの供給下での上記と同様の触媒C
VD法によって厚さ例えば400nm厚に堆積させる。
Next, as shown in FIG. 3 (5), if necessary, the above-mentioned catalytic AHA treatment is performed to promote crystallization and activate impurities in the film, and then the gate insulating film is subjected to catalytic CVD or the like. After forming a silicon oxide film (50 nm thick) 8 of phosphorus, a phosphorus-doped polycrystalline silicon film 15 as a gate electrode material is formed on PH 3 and 20 of 2 to 20 SCCM, for example.
Catalyst C as above under SCCM monosilane feed
It is deposited to a thickness of, for example, 400 nm by the VD method.

【0096】次いで、図3の(6)に示すように、フォ
トレジスト16を所定パターンに形成し、これをマスク
にしてリンドープド多結晶シリコン膜15をゲート電極
形状にパターニングし、更に、必要に応じてフォトレジ
スト16の除去後に図3の(7)に示すように、例えば
触媒CVD等によりゲート電極用保護膜の酸化シリコン
膜17を20〜30nm厚に形成する。
Next, as shown in FIG. 3 (6), a photoresist 16 is formed in a predetermined pattern, and using this as a mask, the phosphorus-doped polycrystalline silicon film 15 is patterned into a gate electrode shape. After removing the photoresist 16, as shown in FIG. 3 (7), a silicon oxide film 17 as a gate electrode protective film is formed to a thickness of 20 to 30 nm by, for example, catalytic CVD.

【0097】次いで、図3の(8)に示すように、pM
OSTFT部をフォトレジスト18でマスクし、イオン
注入又はイオンドーピングによりn型不純物である例え
ば燐イオン19を例えば1×1015atoms/cm2
のドーズ量でドーピングし、2×1020atoms/c
cのドナー濃度に設定し、nMOSTFTのn+型ソー
ス領域20及びドレイン領域21をそれぞれ形成する。
Next, as shown in (8) of FIG.
The OSTFT portion is masked with a photoresist 18 and, for example, phosphorus ions 19 which are n-type impurities are ion-implanted or ion-doped, for example, at 1 × 10 15 atoms / cm 2.
2 × 10 20 atoms / c
By setting the donor concentration to c, the n + -type source region 20 and the drain region 21 of the nMOS TFT are formed.

【0098】次いで、図4の(9)に示すように、nM
OSTFT部をフォトレジスト22でマスクし、イオン
注入又はイオンドーピングによりp型不純物である例え
ばボロンイオン23を例えば1×1015atoms/c
2のドーズ量でドーピングし、2×1020atoms
/ccのアクセプタ濃度に設定し、pMOSTFTのp
+型ソース領域24及びドレイン領域25をそれぞれ形
成する。
Next, as shown in FIG.
The OSTFT portion is masked with a photoresist 22, and a p-type impurity, for example, boron ion 23 is ion-implanted or ion-doped, for example, at 1 × 10 15 atoms / c.
doping with a dose of m 2 , 2 × 10 20 atoms
/ Cc, the acceptor concentration is set to
A + type source region 24 and a drain region 25 are formed respectively.

【0099】こうしてゲート、ソース及びドレインを形
成するが、これらは上記したプロセス以外の方法で形成
することが可能である。
The gate, the source and the drain are formed in this manner, and these can be formed by a method other than the above-described process.

【0100】即ち、図1の(2)の工程後に、多結晶性
シリコン膜7をpMOSTFTとnMOSTFT領域に
アイランド化し、pMOSTFT領域にイオン注入又は
イオンドーピングでn型不純物、例えば燐イオンを1×
1012atoms/cm2のドーズ量でドーピングし、
2×1017atoms/ccのドナー濃度に設定し、n
MOSTFT領域にp型不純物、例えばボロンイオンを
5×1011atoms/cm2のドーズ量でドーピング
し、1×1017atoms/ccのアクセプタ濃度に設
定し、各チャンネル領域の不純物濃度を制御し、Vth
最適化する。
That is, after the step (2) in FIG. 1, the polycrystalline silicon film 7 is made into islands in pMOSTFT and nMOSTFT regions, and n-type impurities, for example, phosphorus ions are implanted into the pMOSTFT region by ion implantation or ion doping.
Doping at a dose of 10 12 atoms / cm 2 ,
A donor concentration of 2 × 10 17 atoms / cc was set, and n
The MOSTFT region is doped with a p-type impurity, for example, boron ion at a dose of 5 × 10 11 atoms / cm 2 , set to an acceptor concentration of 1 × 10 17 atoms / cc, and controlling the impurity concentration of each channel region. V th is optimized.

【0101】そして、次に、汎用フォトリソグラフィ技
術により、フォトレジストマスクで各ソース/ドレイン
領域を形成する。nMOSTFTの場合、イオン注入又
はイオンドーピング法によりn型不純物、例えばひ素、
燐イオンを1×1015atoms/cm2のドーズ量で
ドーピングし、2×1020atoms/ccのドナー濃
度に設定し、pMOSTFTの場合、イオン注入又はイ
オンドーピング法によりp型不純物、例えばボロンイオ
ンを1×1015atoms/cm2のドーズ量でドーピ
ングし、2×1020atoms/ccのアクセプタ濃度
に設定する。
Then, each source / drain region is formed by a general-purpose photolithography technique using a photoresist mask. In the case of an nMOS TFT, an n-type impurity such as arsenic is ion-implanted or ion-doped.
Phosphorus ions are doped at a dose of 1 × 10 15 atoms / cm 2 and a donor concentration of 2 × 10 20 atoms / cc is set. In the case of a pMOS TFT, a p-type impurity such as boron Is doped at a dose of 1 × 10 15 atoms / cm 2 to set an acceptor concentration of 2 × 10 20 atoms / cc.

【0102】しかる後、必要あれば膜中の不純物の活性
化のために触媒AHA処理を行った後、ゲート絶縁膜と
して酸化シリコン膜を形成するが、必要に応じて連続し
て窒化シリコン膜と酸化シリコン膜を形成する。即ち、
必要に応じて、触媒AHA処理後に連続して触媒CVD
法により、水素系キャリアガスとモノシランにHe希釈
2を適量比率で混合して酸化シリコン膜8を20〜3
0nm厚に形成し、必要に応じて水素系キャリアガスと
モノシランにNH3を適量比率で混合して窒化シリコン
膜を10〜20nm厚に形成し、更に前記の条件で酸化
シリコン膜を20〜30nm厚に形成する。
After that, if necessary, a catalyst AHA treatment is performed to activate the impurities in the film, and then a silicon oxide film is formed as a gate insulating film. A silicon oxide film is formed. That is,
If necessary, continuously perform catalytic CVD after catalytic AHA treatment.
He-diluted O 2 is mixed with hydrogen-based carrier gas and monosilane at an appropriate ratio by a suitable
A silicon nitride film is formed to a thickness of 10 to 20 nm by mixing NH 3 with a hydrogen-based carrier gas and monosilane at an appropriate ratio, if necessary, and a silicon oxide film is formed to a thickness of 20 to 30 nm under the above conditions. It is formed thick.

【0103】次いで、図4の(10)に示すように、全
面に上記したと同様の触媒CVD法によって、水素系キ
ャリアガス150SCCMを共通として、1〜2SCC
Mのヘリウムガス希釈のO2、15〜20SCCMのモ
ノシラン供給下で酸化シリコン膜26を例えば100〜
200nm厚に、1〜20SCCMのPH3、1〜2S
CCMのヘリウム希釈のO2、15〜20SCCMのモ
ノシラン供給下でフォスフィンシリケートガラス(PS
G)膜27を300〜400nm厚に形成し、50〜6
0SCCMのNH3、15〜20SCCMのモノシラン
供給下で窒化シリコン膜28を例えば100〜200n
m厚に形成し、積層絶縁膜を形成する。その後に、例え
ば約1000℃で20〜30秒のRTA(Rapid Therma
l Anneal)処理でイオン活性化させ、各領域に設定した
キャリア不純物濃度とする。
Next, as shown in FIG. 4 (10), by using the same catalytic CVD method as described above, a hydrogen-based carrier gas of 150
The silicon oxide film 26 is formed, for example, by supplying O 2 diluted with helium gas of M and monosilane of 15 to 20 SCCM to 100 to 100 SCCM.
To 200nm thickness, 1~20SCCM of PH 3, 1~2S
Phosphine silicate glass (PS) under helium-diluted O 2 of CCM and monosilane supply of 15-20 SCCM
G) The film 27 is formed to a thickness of 300 to 400 nm,
The silicon nitride film 28 is, for example, 100 to 200 n under the supply of NH 3 at 0 SCCM and monosilane at 15 to 20 SCCM.
m, and a laminated insulating film is formed. Thereafter, for example, RTA (Rapid Therma) at about 1000 ° C. for 20 to 30 seconds.
(l Anneal) treatment to activate the ions to set the carrier impurity concentration in each region.

【0104】次いで、図4の(11)に示すように、上
記の絶縁膜の所定位置にコンタクト窓開けを行い、各コ
ンタクトホールを含む全面に1%Si入りアルミニウム
等の電極材料をスパッタ法等で150℃で1μmの厚み
に堆積し、これをパターニングして、pMOSTFT及
びnMOSTFTのそれぞれのソース又はドレイン電極
29(S又はD)とゲート取出し電極又は配線30
(G)を形成し、トップゲート型の各CMOSTFTを
形成する。この後に、フォーミングガス中で400℃、
1hの水素化及びシンター処理する。尚、触媒CVD法
により、アルミニウム化合物ガス(例えばAlCl3
を供給し、アルミニウムを形成してもよい。
Then, as shown in FIG. 4 (11), a contact window is opened at a predetermined position of the insulating film, and an electrode material such as aluminum containing 1% Si is sputtered on the entire surface including each contact hole by sputtering or the like. Is deposited to a thickness of 1 μm at 150 ° C., and is patterned to form a source or drain electrode 29 (S or D) and a gate extraction electrode or wiring 30 for each of the pMOSTFT and nMOSTFT.
(G) is formed, and each top gate type CMOSTFT is formed. After this, 400 ° C. in a forming gas,
Hydrogenate and sinter for 1 h. Incidentally, an aluminum compound gas (for example, AlCl 3 ) is formed by the catalytic CVD method.
May be supplied to form aluminum.

【0105】なお、上記のゲート電極の形成に代えて、
全面にMo−Ta合金等の耐熱性金属のスパッタ膜(4
00〜500nm厚)を形成し、汎用フォトリソグラフ
ィ及びエッチング技術により、nMOSTFT及びpM
OSTFTのゲート電極を形成してよい。
Note that, instead of forming the above gate electrode,
Sputtered film of heat-resistant metal such as Mo-Ta alloy (4
(Thickness: 500 to 500 nm), and the nMOSTFT and pM
A gate electrode of the OSTFT may be formed.

【0106】なお、参考迄に、シリコン合金溶融液の液
相成長法と触媒AHA処理をトップゲート型多結晶シリ
コンCMOSTFTの製法例について説明すると、ま
ず、下記のいずれかの方法で錫含有又は非含有のアモル
ファスシリコン含有微結晶シリコン層を(析出)成長さ
せた(以下は錫含有の例とする)後、その上の錫等の低
融点金属膜を除去する。シリコンを含む錫等の低融点金
属溶融液を塗布し、冷却させる。シリコンを含む錫等の
低融点金属溶融液に浸漬し、引き上げて冷却させる。シ
リコンを含む錫等の低融点金属膜を加熱溶融し、冷却さ
せる。シリコン膜の上に錫等の低融点金属膜を形成し、
加熱溶融及び冷却させる。錫等の低融点金属膜の上にシ
リコン膜を形成し、加熱溶融及び冷却させる。
For reference, the liquid phase growth method of the silicon alloy melt and the catalytic AHA treatment will be described with reference to a method of manufacturing a top gate type polycrystalline silicon CMOS TFT. After growing (precipitating) a microcrystalline silicon layer containing amorphous silicon (hereinafter referred to as an example containing tin), a low-melting-point metal film such as tin thereon is removed. A low melting metal melt such as tin containing silicon is applied and cooled. It is immersed in a low melting metal melt such as tin containing silicon, pulled up and cooled. A low melting point metal film such as tin containing silicon is melted by heating and cooled. Form a low melting metal film such as tin on the silicon film,
Heat and melt and cool. A silicon film is formed on a low melting point metal film such as tin, and is heated and melted and cooled.

【0107】次いで、錫含有又は非含有のアモルファス
シリコン含有微結晶シリコン層をアイランド化して、p
MOSTFT部とnMOSTFT部に分割し、イオン注
入又はイオンドーピング法によりチャンネル領域の不純
物濃度を制御してVthを最適化する(条件は、上述した
ものに準ずる)。しかる後に、イオン注入又はイオンド
ーピング法によりpMOSTFT部とnMOSTFT部
のソース、ドレインを形成する(条件は、上述したもの
に準ずる)。
Next, the amorphous silicon-containing microcrystalline silicon layer containing or not containing tin was made into islands,
Vth is optimized by controlling the impurity concentration of the channel region by ion implantation or ion doping method by dividing into a MOSTFT portion and an nMOSTFT portion (conditions conform to those described above). Thereafter, the source and drain of the pMOSTFT portion and the nMOSTFT portion are formed by ion implantation or ion doping (the conditions are the same as those described above).

【0108】次いで、触媒AHA処理で結晶化促進とイ
オン活性化を行なう(条件は、上述したものに準ず
る)。連続して触媒CVDによりゲート絶縁膜の酸化シ
リコン膜を形成するが、必要に応じて連続して窒化シリ
コン膜及び酸化シリコン膜を形成する(成膜条件は、上
述したものに準ずる)。これ以降のプロセスは、上述し
たものと同様である。また、この液相成長法を用いる方
法は、後述のボトムゲート型、デュアルゲート型CMO
STFT等についても、同様に適用されてよい。
Next, crystallization promotion and ion activation are carried out by the catalytic AHA treatment (conditions are the same as those described above). A silicon oxide film as a gate insulating film is successively formed by catalytic CVD. If necessary, a silicon nitride film and a silicon oxide film are successively formed (film formation conditions are as described above). Subsequent processes are the same as those described above. The method using the liquid phase growth method is described in the bottom gate type and dual gate type CMO described below.
The same applies to STFT and the like.

【0109】スパッタリング膜の触媒AHA処理を適用
したトップゲート型多結晶シリコンCMOSTFTの製
法例について説明すると、まず、錫を0.1〜1at%
含有する或いは非含有のシリコンターゲットを、アルゴ
ンガス0.133〜1.33Paの真空中でスパッタリ
ングして、絶縁性基板の少なくともTFT形成領域に5
0nm厚の例えば錫含有又は非含有の微結晶シリコン含
有アモルファスシリコン膜を形成する。
An example of a method of manufacturing a top gate type polycrystalline silicon CMOS TFT to which a catalytic AHA treatment of a sputtering film is applied will be described. First, tin is 0.1 to 1 at%.
A silicon target containing or not containing is sputtered in a vacuum of 0.133 to 1.33 Pa of argon gas to form a silicon target at least on a TFT forming region of an insulating substrate.
A 0 nm thick amorphous silicon film containing, for example, tin-containing or non-containing microcrystalline silicon is formed.

【0110】次いで、形成した錫含有又は非含有の微結
晶シリコン含有アモルファスシリコン膜をアイランド化
し、pMOSTFT部とnMOSTFT部に分割する
(条件は気相成長法の場合に準ずる)。しかる後に、ゲ
ートチャンネル、ソース、ドレインを形成する(条件は
気相成長法の場合に準ずる)。
Then, the formed amorphous silicon film containing tin or non-containing microcrystalline silicon is made into islands and divided into a pMOSTFT portion and an nMOSTFT portion (conditions are the same as in the case of the vapor phase growth method). Thereafter, a gate channel, a source, and a drain are formed (the conditions are the same as in the case of the vapor phase growth method).

【0111】次いで、錫含有又は非含有の微結晶シリコ
ン含有アモルファスシリコン膜を触媒AHA処理する。
この触媒AHA処理により、多結晶シリコン膜化し、イ
オン注入又はイオンドーピングしたn型又はp型不純物
を活性化して、ゲートチャンネル、ソース、ドレイン領
域の最適なキャリア不純物濃度を形成する(触媒AHA
処理条件は上述したものに準ずる)。
Next, the amorphous silicon film containing microcrystalline silicon containing or not containing tin is subjected to catalytic AHA treatment.
By this catalytic AHA treatment, a polycrystalline silicon film is formed, and ion-implanted or ion-doped n-type or p-type impurities are activated to form optimal carrier impurity concentrations in the gate channel, source and drain regions (catalyst AHA
The processing conditions are as described above.

【0112】次いで、ゲート絶縁膜として酸化シリコン
膜を形成するが、必要に応じて連続して窒化シリコン膜
及び酸化シリコン膜を形成する。即ち、触媒CVD法等
により、酸化シリコン膜を40〜50nm厚、窒化シリ
コン膜を10〜20nm厚、酸化シリコン膜を40〜5
0nm厚に連続形成する(成膜条件は上述したものに準
ずる)。
Next, a silicon oxide film is formed as a gate insulating film. If necessary, a silicon nitride film and a silicon oxide film are formed successively. That is, by a catalytic CVD method or the like, the silicon oxide film is 40 to 50 nm thick, the silicon nitride film is 10 to 20 nm thick, and the silicon oxide film is 40 to 5 nm.
A film is continuously formed to a thickness of 0 nm (film forming conditions are as described above).

【0113】以降のプロセスは、上述したものと同様で
ある。また、このスパッタリング膜を用いる方法は、後
述のボトムゲート型、デュアルゲート型CMOSTFT
等についても、同様に適用されてよい。
The subsequent processes are the same as those described above. In addition, a method using this sputtering film is described below in a bottom gate type, dual gate type CMOS TFT.
And the like may be similarly applied.

【0114】上述したように、本実施の形態によれば、
下記(a)〜(h)の優れた作用効果を得ることができ
る。
As described above, according to the present embodiment,
The following excellent effects (a) to (h) can be obtained.

【0115】(a)減圧下(特に10〜50Pa)で、
水素又は水素含有ガスを高温触媒体(例えばタングステ
ン、800〜2000℃)に接触させて、高温の水素分
子、水素原子、活性化水素イオンを生成し、基板上に形
成した錫含有又は非含有、特に錫含有のアモルファスシ
リコン含有微結晶シリコン膜等の低級結晶性シリコン膜
に吹き付けて(基板温度300〜400℃)触媒AHA
処理することにより、高温の水素分子、水素原子、活性
化水素イオンが有する高いエネルギーが低級結晶性シリ
コン膜に移動して、その膜温度を上昇させる。また、ア
モルファス構造のシリコンが活性化水素イオンの還元作
用によりエッチングされるので、アモルファスシリコン
含有微結晶シリコンの結晶化率が高まり、キャリア移動
度が向上する。また、アモルファスシリコン及び微結晶
シリコン含有多結晶シリコンもさらに結晶化率が高ま
り、大粒径化によりキャリア移動度が向上する。更に、
この加熱により、各領域にイオン注入及びイオンドーピ
ングした不純物の活性化によりTFT特性を向上させる
ことができる。
(A) Under reduced pressure (particularly 10 to 50 Pa)
Contacting hydrogen or a hydrogen-containing gas with a high-temperature catalyst (for example, tungsten, 800 to 2000 ° C.) to generate high-temperature hydrogen molecules, hydrogen atoms, and activated hydrogen ions, and to form tin-containing or non-tin formed on the substrate; In particular, the catalyst AHA is sprayed on a lower crystalline silicon film such as a tin-containing amorphous silicon-containing microcrystalline silicon film (substrate temperature 300 to 400 ° C.).
By the treatment, high energy of high-temperature hydrogen molecules, hydrogen atoms, and activated hydrogen ions is transferred to the lower crystalline silicon film, and the film temperature is increased. Further, since amorphous silicon is etched by the reducing action of activated hydrogen ions, the crystallization rate of amorphous silicon-containing microcrystalline silicon is increased, and the carrier mobility is improved. Further, the crystallization rate of amorphous silicon and polycrystalline silicon containing microcrystalline silicon is further increased, and the carrier mobility is improved by increasing the grain size. Furthermore,
By this heating, the TFT characteristics can be improved by ion implantation into the respective regions and activation of the impurities doped with the ions.

【0116】(b)基板上に形成した膜等に上記の高温
の水素分子、水素原子、活性化水素イオンを吹き付ける
と、その膜上又は膜内又は粒界にシリコン酸化物が存在
したとき、これと還元反応してSiOを生成して蒸発さ
せるので、多結晶性シリコン膜の上又は膜内のシリコン
酸化物を減少/除去させることができ、移動度の向上を
図ることができる。
(B) When the above-mentioned high-temperature hydrogen molecules, hydrogen atoms, and activated hydrogen ions are sprayed on a film or the like formed on a substrate, when silicon oxide is present on the film, in the film, or at the grain boundary, Since SiO is generated and evaporated by a reduction reaction with this, silicon oxide on or in the polycrystalline silicon film can be reduced / removed, and mobility can be improved.

【0117】(c)触媒CVD、プラズマCVD等の気
相成長法、シリコン合金溶融液の液相成長法、又はスパ
ッタリング法等、特に触媒CVDにより、錫又は他のIV
族元素(例えば鉛、ゲルマニウム)、例えば錫を1×1
18〜1×1020atoms/cc含有した低級結晶性
シリコン膜を成長させ、この成長工程と上記触媒AHA
処理を繰り返しているので、低級結晶性シリコン膜を触
媒AHA処理で高結晶化、大粒径化させて多結晶化し、
この上に多結晶化され易い状態で更に触媒CVDで多結
晶性シリコンを成長させ、目的とする高結晶化率、高品
質の多結晶性シリコン膜を所定の膜厚で得ることができ
る。
(C) A vapor phase growth method such as catalytic CVD or plasma CVD, a liquid phase growth method of a silicon alloy melt, a sputtering method or the like, in particular, by catalytic CVD, tin or other IV.
Group elements (eg, lead, germanium), eg, tin, 1 × 1
A low-crystalline silicon film containing 0 18 to 1 × 10 20 atoms / cc is grown.
Since the treatment is repeated, the lower crystalline silicon film is made to be highly crystallized and made to have a large grain size by the catalyst AHA treatment, and polycrystallized.
Polycrystalline silicon is further grown thereon by catalytic CVD in a state where it is easily polycrystallized, so that a desired polycrystalline silicon film having a high crystallization rate and a high quality can be obtained with a predetermined thickness.

【0118】(d)そして、この多結晶性シリコン膜に
よりゲートチャンネル/ソース/ドレインを形成した後
に触媒AHA処理を行うと、多結晶性シリコン膜の大粒
径化、多結晶性シリコン粒界に存在する結晶不整を減少
させて内部応力を減少させ、同時に各領域に添加したn
型又はp型不純物を活性化させるので、高いキャリア移
動度の多結晶性シリコン膜の形成が可能となる。
(D) When the catalyst AHA treatment is performed after the gate channel / source / drain is formed from the polycrystalline silicon film, the polycrystalline silicon film has a large grain size and the polycrystalline silicon grain boundary The internal stress is reduced by reducing the existing crystal irregularity, and at the same time, the n added to each region is reduced.
Since the p-type or p-type impurities are activated, a polycrystalline silicon film having high carrier mobility can be formed.

【0119】(e)触媒CVDによる成膜(或いは、こ
の後にゲートチャンネル/ソース/ドレインの形成)後
に触媒AHA処理を行う場合、触媒体の種類及び温度、
基板加熱温度、気相成膜条件、原料ガスの種類、添加す
るn又はp型不純物濃度等により、広範囲のn又はp型
不純物濃度の錫又は他のIV族元素(鉛、ゲルマニウム)
含有多結晶性シリコン膜が容易に得られる。また、ゲー
トチャンネル/ソース/ドレイン形成後の触媒AHA処
理では、多結晶性シリコン膜の大粒径化、多結晶性シリ
コン粒界に存在する結晶不整を減少させて内部応力を減
少させ、同時に各領域に添加したn又はp型不純物を活
性化させるので、高いキャリア移動度でしきい値
(Vth)調整が容易となり、低抵抗での高速動作が可能
となる。
(E) When the catalytic AHA treatment is performed after the film formation by the catalytic CVD (or the formation of the gate channel / source / drain thereafter), the type and temperature of the catalyst body,
Depending on the substrate heating temperature, vapor deposition conditions, type of source gas, n or p-type impurity concentration to be added, tin or other group IV elements (lead, germanium) with a wide range of n or p-type impurity concentrations
A containing polycrystalline silicon film can be easily obtained. Further, in the catalytic AHA treatment after the formation of the gate channel / source / drain, the internal stress is reduced by increasing the grain size of the polycrystalline silicon film and reducing the crystal irregularity existing at the polycrystalline silicon grain boundary. Since the n-type or p-type impurity added to the region is activated, the threshold (V th ) can be easily adjusted with high carrier mobility, and high-speed operation with low resistance can be performed.

【0120】なお、シリコン合金溶融液式液相成長法の
成膜+ゲートチャンネル/ソース/ドレイン形成+触媒
AHA処理の場合、シリコン合金組成比、溶融液温度、
冷却方法/速度、添加するn又はp型不純物濃度等によ
り、広範囲の又はp型不純物濃度の錫又は他のIV族元素
(鉛、ゲルマニウム)含有のアモルファスシリコン及び
微結晶シリコン含有多結晶シリコン膜が得られ、かつ、
ゲートチャンネル/ソース/ドレイン形成後の触媒AH
A処理で、多結晶性シリコン膜の大粒径化、多結晶性シ
リコン粒界に存在する結晶不整を減少させて内部応力を
減少させ、同時に各領域に添加したn又はp型不純物を
活性化させるので、高いキャリア移動度で、Vth調整が
容易となり、低抵抗での高速動作が可能となる。
In the case of silicon alloy melt liquid phase growth method + gate channel / source / drain formation + catalytic AHA treatment, the silicon alloy composition ratio, melt temperature,
Depending on the cooling method / rate, the added n- or p-type impurity concentration, etc., a wide range or a p-type impurity concentration of tin or other group IV element (lead, germanium) -containing amorphous silicon and microcrystalline silicon-containing polycrystalline silicon film can be obtained. Obtained and
Catalyst AH after gate channel / source / drain formation
A treatment increases the grain size of the polycrystalline silicon film, reduces crystal irregularities existing at the polycrystalline silicon grain boundaries, reduces internal stress, and simultaneously activates n or p-type impurities added to each region. As a result, Vth adjustment becomes easy with high carrier mobility, and high-speed operation with low resistance becomes possible.

【0121】プラズマCVDによる成膜+ゲートチャン
ネル/ソース/ドレイン形成+触媒AHA処理の場合、
プラズマCVDでの低級結晶性シリコン膜中に20〜3
0%含有する水素を触媒AHA処理で離脱させて多結晶
性シリコン膜を形成し、多結晶性シリコン粒界に存在す
る結晶不整を減少させて内部応力を減少させ、同時に各
領域に添加したn又はp型不純物を活性化させるので、
高いキャリア移動度の多結晶性シリコン膜の形成が可能
となる。更に、基板加熱温度、気相成膜条件、原料ガス
の種類、触媒AHA処理条件、添加するn又はp型不純
物濃度等により、広範囲のn又はp型キャリア不純物濃
度の錫又は他のIV族元素(鉛、ゲルマニウム)含有多結
晶性シリコン膜が得られるので、Vth調整が容易で、低
抵抗での高速動作が可能となる。
In the case of film formation by plasma CVD + gate channel / source / drain formation + catalytic AHA treatment,
20 to 3 in lower crystalline silicon film by plasma CVD
Hydrogen containing 0% is desorbed by the catalytic AHA treatment to form a polycrystalline silicon film, which reduces crystal irregularities existing at the polycrystalline silicon grain boundaries to reduce internal stress, and simultaneously adds n to each region. Or activate the p-type impurity,
A polycrystalline silicon film with high carrier mobility can be formed. Further, tin or other group IV element having a wide range of n or p-type carrier impurity concentration depending on the substrate heating temperature, vapor deposition conditions, type of source gas, catalyst AHA treatment conditions, n or p-type impurity concentration to be added, etc. Since a (lead, germanium) -containing polycrystalline silicon film is obtained, Vth adjustment is easy, and high-speed operation with low resistance is possible.

【0122】スパッタリングによる成膜+ゲートチャン
ネル/ソース/ドレイン形成+触媒AHA処理の場合、
シリコンターゲットの比抵抗(添加するn又はp型不純
物濃度、錫又は他のIV族元素)、スパッタリング成膜条
件、基板加熱温度、触媒AHA処理条件等により、広範
囲のn又はp型キャリア不純物濃度の錫又は他のIV族元
素(鉛、ゲルマニウム)含有多結晶性シリコン膜を形成
し、多結晶性シリコン粒界に存在する結晶不整を減少さ
せて内部応力を減少させ、同時に各領域に添加したn又
はp型不純物を活性化させるので、高いキャリア移動度
で、Vth調整が容易となり、低抵抗での高速動作が可能
となる。
In the case of film formation by sputtering + gate channel / source / drain formation + catalytic AHA treatment,
Depending on the specific resistance of the silicon target (added n or p-type impurity concentration, tin or other group IV element), sputtering film forming conditions, substrate heating temperature, catalytic AHA treatment conditions, etc. Forming a polycrystalline silicon film containing tin or other group IV element (lead, germanium), reducing the crystal irregularity existing at the polycrystalline silicon grain boundary, reducing the internal stress, and simultaneously adding n to each region. Alternatively, since the p-type impurity is activated, Vth adjustment becomes easy with high carrier mobility, and high-speed operation with low resistance becomes possible.

【0123】(f)トップゲート型のみならず、後述す
るボトムゲート型、デュアルゲート型MOSTFTでも
高いキャリア移動度の多結晶性シリコン膜が得られるた
めに、この高性能の多結晶性シリコン半導体を使用した
高速、高電流密度の半導体装置、電気光学装置、更に
は、高効率の太陽電池等の製造が可能となる。
(F) Since a polycrystalline silicon film having high carrier mobility can be obtained not only in a top gate type but also in a bottom gate type and a dual gate type MOSTFT described later, this high performance polycrystalline silicon semiconductor is used. It is possible to manufacture used high-speed, high-current-density semiconductor devices and electro-optical devices, as well as high-efficiency solar cells and the like.

【0124】(g)低温(300〜400℃)で多結晶
性シリコン膜を形成できるので、安価で、大型化が容易
な低歪点ガラスを採用でき、コストダウンが可能とな
る。
(G) Since a polycrystalline silicon film can be formed at a low temperature (300 to 400 ° C.), it is possible to use a low strain point glass which is inexpensive and easy to increase in size, and the cost can be reduced.

【0125】(h)ゲートチャンネル/ソース/ドレイ
ン領域に添加されたn又はp型不純物の活性化に、RT
A(Rapid Thermal Anneal)やエキシマレーザー装置が不
要であり、触媒CVD装置が兼用できるので、設備投資
の削減、生産性向上でのコストダウンが可能となる。
(H) RT is used to activate n or p-type impurities added to the gate channel / source / drain regions.
A (Rapid Thermal Anneal) or an excimer laser device is not required, and a catalytic CVD device can be used. Therefore, it is possible to reduce capital investment and reduce cost by improving productivity.

【0126】第2の実施の形態 <LCDの製造例1>本実施の形態は、高温プロセスに
よる多結晶性シリコンMOSTFTを用いたLCD(液
晶表示装置)に本発明を適用したものであり、以下にそ
の製造例を示す。尚、この製造例は、後述する有機EL
やFED等の表示装置等にも同様に適用可能である。
Second Embodiment <Manufacturing Example 1 of LCD> In this embodiment, the present invention is applied to an LCD (Liquid Crystal Display) using a polycrystalline silicon MOSTFT by a high-temperature process. An example of the production is shown in FIG. Note that this manufacturing example is based on an organic EL described later.
The present invention can be similarly applied to a display device such as an FED or an FED.

【0127】まず、図12の(1)に示すように、画素
部及び周辺回路部において、石英ガラス、結晶化ガラス
などの耐熱性絶縁基板61(歪点約800〜1100
℃、厚さ50ミクロン〜数mm)の一主面に、上述した
触媒CVD法等によって、保護膜100(ここでは図示
省略:以下、同様)を形成後に、この上に多結晶性シリ
コン膜67を上述のマルチ触媒AHA処理により50n
m厚に形成する。
First, as shown in FIG. 12A, in the pixel portion and the peripheral circuit portion, a heat-resistant insulating substrate 61 (strain point of about 800 to 1100) made of quartz glass, crystallized glass or the like is used.
A protective film 100 (not shown here: the same applies hereinafter) is formed on one main surface of the substrate by a catalytic CVD method or the like described above on one main surface of the polycrystalline silicon film 67. Is reduced to 50 n by the multi-catalyst AHA treatment described above.
m thickness.

【0128】次いで、図12の(2)に示すように、フ
ォトレジストマスクを用いて多結晶性シリコン膜67を
パターニング(アイランド化)し、トランジスタ、ダイ
オード等の能動素子、抵抗、容量、インダクタンス等の
受動素子の活性層を形成する。
Next, as shown in FIG. 12B, the polycrystalline silicon film 67 is patterned (islanded) using a photoresist mask, and active elements such as transistors and diodes, resistance, capacitance, inductance, etc. The active layer of the passive element is formed.

【0129】次いで、トランジスタ活性層67のチャン
ネル領域の不純物濃度制御によるV thの最適化のために
前記と同様のボロン又は燐等の所定の不純物のイオン注
入を行なった後、図12の(3)に示すように、例えば
上記と同様の触媒CVD法等によって多結晶性シリコン
膜67の表面に厚さ例えば50nm厚のゲート絶縁膜用
の酸化シリコン膜68を形成する。触媒CVD法等でゲ
ート絶縁膜用の酸化シリコン膜68を形成する場合、基
板温度及び触媒体温度は上記したものと同様であるが、
酸素ガス流量は1〜2SCCM、モノシランガス流量は
15〜20SCCM、水素系キャリアガスは150SC
CMとしてよい。尚、チャンネル領域の不純物濃度制御
する前又は後に、例えば、約1000℃、30分の高温
熱酸化により、ゲート絶縁膜用の酸化シリコン膜68を
形成してもよい。
Next, the channel of the transistor active layer 67 is
V by controlling the impurity concentration in the tunnel region thFor optimization
Ion injection of specified impurities such as boron or phosphorus as described above
After the insertion, as shown in (3) of FIG.
Polycrystalline silicon by the same catalytic CVD method as above
For a gate insulating film having a thickness of, for example, 50 nm on the surface of the film 67.
Is formed. The catalyst CVD method etc.
When forming a silicon oxide film 68 for a gate insulating film,
The plate temperature and catalyst body temperature are the same as described above,
Oxygen gas flow rate is 1-2 SCCM, monosilane gas flow rate is
15-20 SCCM, 150 SC hydrogen carrier gas
It may be a CM. In addition, impurity concentration control of the channel region
Before or after, for example, about 1000 ° C., 30 minutes high temperature
The silicon oxide film 68 for the gate insulating film is formed by thermal oxidation.
It may be formed.

【0130】次いで、図12の(4)に示すように、ゲ
ート電極及びゲートライン用材料として、例えばMo−
Ta合金をスパッタリングで厚さ例えば400nm厚に
堆積させるか、或いは、リンドープド多結晶シリコン膜
を例えば水素系キャリアガス150SCCM、2〜20
SCCMのPH3及び20SCCMのモノシランガスの
供給下での上記と同様の触媒CVD法等によって厚さ例
えば400nm厚に堆積させる。そして、汎用フォトリ
ソグラフィー及びエッチング技術により、ゲート電極材
料層をゲート電極75及びゲートラインの形状にパター
ニングする。尚、リンドープド多結晶性シリコン膜の場
合は、触媒CVD等により、その表面に保護用酸化シリ
コン膜(10〜20nm厚)を形成してもよい。
Next, as shown in FIG. 12 (4), as a material for the gate electrode and the gate line, for example, Mo-
A Ta alloy is deposited to a thickness of, for example, 400 nm by sputtering, or a phosphorus-doped polycrystalline silicon film is deposited, for example, in a hydrogen-based carrier gas of 150 SCCM, 2 to 20 nm.
Thickness by the similar catalytic CVD method or the like in the supply of a monosilane gas PH 3 and 20SCCM of SCCM example is deposited 400nm thick. Then, the gate electrode material layer is patterned into the shape of the gate electrode 75 and the gate line by general-purpose photolithography and etching technology. In the case of a phosphorus-doped polycrystalline silicon film, a protective silicon oxide film (10 to 20 nm thick) may be formed on the surface by catalytic CVD or the like.

【0131】次いで、図13の(5)に示すように、p
MOSTFT部をフォトレジスト78でマスクし、イオ
ン注入又はイオンドーピング法によりn型不純物である
例えばヒ素(又は燐)イオン79を例えば1×1015
toms/cm2のドーズ量でドーピングし、2×10
20atoms/ccのドナー濃度に設定し、nMOST
FTのn+型ソース領域80及びドレイン領域81をそ
れぞれ形成する。
Next, as shown in (5) of FIG.
The MOSTFT portion is masked with a photoresist 78, and an n-type impurity such as arsenic (or phosphorus) ion 79 is, for example, 1 × 10 15 a by ion implantation or ion doping.
doping at a dose of toms / cm 2 , 2 × 10
The donor concentration was set to 20 atoms / cc and the nMOST
An FT n + type source region 80 and a drain region 81 are formed.

【0132】次いで、図13の(6)に示すように、n
MOSTFT部をフォトレジスト82でマスクし、イオ
ン注入又はイオンドーピング法によりp型不純物である
例えばボロンイオン83を例えば1×1015atoms
/cm2のドーズ量でドーピングし、2×1020ato
ms/ccのアクセプタ濃度に設定し、pMOSTFT
のp+型ソース領域84及びドレイン領域85をそれぞ
れ形成する。
Next, as shown in FIG. 13 (6), n
The MOSTFT portion is masked with a photoresist 82 and, for example, boron ions 83 which are p-type impurities are ion-implanted or ion-doped, for example, at 1 × 10 15 atoms.
/ Cm 2 at a dose of 2 × 10 20 at
ms / cc acceptor concentration, pMOSTFT
The p + type source region 84 and the drain region 85 are respectively formed.

【0133】次いで、図13の(7)に示すように、全
面に上記したと同様の触媒CVD法等によって、水素系
キャリアガス150SCCMを共通として、1〜2SC
CMのHe希釈O2、15〜20SCCMのモノシラン
供給下で酸化シリコン膜を例えば100〜200nm厚
に、更に、1〜20SCCMのPH3、1〜2SCCM
のHe希釈O2、15〜20SCCMのモノシラン供給
下でフォスフィンシリケートガラス(PSG)膜を30
0〜400nm厚に形成し、50〜60SCCMのNH
3、15〜20SCCMのSiH4供給下で窒化シリコン
膜を例えば100〜200nm厚に形成する。これらの
絶縁膜の積層によって層間絶縁膜86を形成する。な
お、このような層間絶縁膜は、上記とは別の通常の方法
で形成してもよい。この後に、例えば900℃、5分間
のN2中のアニール又は1000℃、20〜30秒のN2
中のRTA処理によりイオン活性化し、各領域に設定し
たキャリア不純物濃度とする。
Next, as shown in FIG. 13 (7), a hydrogen-based carrier gas of 150 SCCM is used as a common source to form 1 to 2 SC by the same catalytic CVD method or the like as described above.
Under the supply of He diluted O 2 of CM and monosilane of 15 to 20 SCCM, the silicon oxide film is formed to have a thickness of, for example, 100 to 200 nm, and further, PH 3 of 1 to 20 SCCM, and 1 to 2 SCCM.
Phosphine silicate glass (PSG) film was supplied under a supply of He-diluted O 2 , 15-20 SCCM of monosilane.
0-400 nm thick, 50-60 SCCM NH
3 , a silicon nitride film is formed to a thickness of, for example, 100 to 200 nm under supply of 15 to 20 SCCM of SiH 4 . An interlayer insulating film 86 is formed by stacking these insulating films. Note that such an interlayer insulating film may be formed by another ordinary method different from the above. After this, for example 900 ° C., annealing or 1000 ° C. in N 2 for 5 min, 20-30 seconds N 2
The ions are activated by the RTA process in the inside, and the carrier impurity concentration is set in each region.

【0134】次いで、図14の(8)に示すように、上
記の絶縁膜86の所定位置にコンタクト窓開けを行い、
各コンタクトホールを含む全面にアルミニウムなどの電
極材料をスパッタ法等で150℃で1μmの厚みに堆積
し、これをパターニングして、画素部のnMOSTFT
のソース電極87及びデータライン、周辺回路部のpM
OSTFT及びnMOSTFTのソース電極88、90
とドレイン電極89、91及び配線をそれぞれ形成す
る。尚、この時に、触媒CVD法によりアルミニウムを
形成してもよい。この後に、例えばフォーミングガス
中、400℃、1hの水素化及びシンター処理する。
Next, as shown in (8) of FIG. 14, a contact window is opened at a predetermined position of the insulating film 86.
An electrode material such as aluminum is deposited on the entire surface including each contact hole at a temperature of 150 ° C. to a thickness of 1 μm by sputtering or the like, and is patterned to form an nMOS TFT in a pixel portion.
Source electrode 87, data line, and pM of peripheral circuit section
OSTFT and nMOSTFT source electrodes 88 and 90
And drain electrodes 89 and 91 and wiring are formed. At this time, aluminum may be formed by a catalytic CVD method. Thereafter, hydrogenation and sintering are performed, for example, in a forming gas at 400 ° C. for 1 hour.

【0135】次いで、表面上に酸化シリコン膜等の層間
絶縁膜92をCVD法で形成した後、図14の(9)に
示すように、画素部のnMOSTFTドレイン領域にお
いて層間絶縁膜92及び86にコンタクトホールを開
け、例えばITO(Indium tinoxide:インジウム酸化
物にスズをドープした透明電極材料)を真空蒸着法等で
全面に堆積させ、パターニングしてnMOSTFTのド
レイン領域81に接続された透明画素電極93を形成す
る。この後に、例えばフォーミングガス中、250℃、
1h、アニールして、ITOとのオーミックコンタクト
を改善し、ITOの透明度を向上させる。
Next, after an interlayer insulating film 92 such as a silicon oxide film is formed on the surface by the CVD method, as shown in FIG. 14 (9), the interlayer insulating films 92 and 86 are formed in the nMOSTFT drain region of the pixel portion. A contact hole is opened and, for example, ITO (indium tin oxide: a transparent electrode material in which tin is doped with indium oxide) is deposited on the entire surface by a vacuum deposition method or the like, and is patterned and the transparent pixel electrode 93 connected to the drain region 81 of the nMOS TFT is formed. To form Thereafter, for example, at 250 ° C. in a forming gas,
1h, annealing to improve ohmic contact with ITO and improve transparency of ITO.

【0136】こうしてアクティブマトリクス基板を作製
し、透過型のLCDを作製することができる。この透過
型LCDは、図14(10)に示すように、画素電極9
3上に配向膜94、液晶95、配向膜96、透明電極9
7、対向基板98が積層された構造からなっている。
Thus, an active matrix substrate can be manufactured, and a transmission type LCD can be manufactured. As shown in FIG. 14 (10), this transmission type LCD has a pixel electrode 9
3, an alignment film 94, a liquid crystal 95, an alignment film 96, a transparent electrode 9
7. It has a structure in which opposing substrates 98 are stacked.

【0137】なお、上記した工程は、反射型のLCDの
製造にも同様に適用可能である。図19(A)には、こ
の反射型のLCDの一例が示されているが、図中の10
1は粗面化された絶縁膜92上に被着された反射膜であ
り、MOSTFTのドレインと接続されている。
The above-described steps can be similarly applied to the manufacture of a reflective LCD. FIG. 19A shows an example of this reflection type LCD.
Reference numeral 1 denotes a reflection film deposited on the roughened insulating film 92, which is connected to the drain of the MOSTFT.

【0138】このLCDの液晶セルを面面組立で作製す
る場合(2インチサイズ以上の中/大型液晶パネルに適
している。)、まずTFT基板61と、全面ベタのIT
O(Indium Tin Oxide)電極97を設けた対向基板98
の素子形成面に、ポリイミド配向膜94、96を形成す
る。このポリイミド配向膜はロールコート、スピンコー
ト等により50〜100nm厚に形成し、180℃/2
hで硬化キュアする。
When the liquid crystal cell of this LCD is manufactured by surface assembly (suitable for medium / large liquid crystal panels of 2 inch size or more), first, a TFT substrate 61 and a solid IT
Counter substrate 98 provided with O (Indium Tin Oxide) electrode 97
The polyimide alignment films 94 and 96 are formed on the element formation surface. This polyimide alignment film is formed to a thickness of 50 to 100 nm by roll coating, spin coating, etc.
Cure with h.

【0139】次いで、TFT基板61と対向基板98を
ラビング、又は光配向処理する。ラビングバフ材にはコ
ットンやレーヨン等があるが、バフかす(ゴミ)やリタ
デーション等の面からはコットンの方が安定している。
光配向は非接触の線型偏光紫外線照射による液晶分子の
配向技術である。なお、配向には、ラビング以外にも、
偏光又は非偏光を斜め入射させることによって高分子配
向膜を形成することができる(このような高分子化合物
は、例えばアゾベンゼンを有するポリメチルメタクリレ
ート系高分子等がある)。
Next, the TFT substrate 61 and the counter substrate 98 are subjected to rubbing or optical alignment processing. Rubbing buff materials include cotton and rayon, but cotton is more stable in terms of buff residue (garbage) and retardation.
Photoalignment is a technique for aligning liquid crystal molecules by non-contact linearly polarized ultraviolet irradiation. In addition, the orientation other than rubbing,
A polymer alignment film can be formed by obliquely entering polarized light or non-polarized light (such a polymer compound includes, for example, a polymethyl methacrylate-based polymer having azobenzene).

【0140】次いで、洗浄後に、TFT基板61側には
コモン剤塗布、対向基板98側にはシール剤塗布する。
ラビングバフかす除去のために、水、又はIPA(イソ
プロピルアルコール)洗浄する。コモン剤は導電性フィ
ラーを含有したアクリル、又はエポキシアクリレート、
又はエポキシ系接着剤であってよく、シール剤はアクリ
ル、又はエポキシアクリレート、又はエポキシ系接着剤
であってよい。加熱硬化、紫外線照射硬化、紫外線照射
硬化+加熱硬化のいずれも使用できるが、重ね合せの精
度と作業性からは紫外線照射硬化+加熱硬化タイプが良
い。
Next, after cleaning, a common agent is applied to the TFT substrate 61 side, and a sealing agent is applied to the counter substrate 98 side.
Wash with water or IPA (isopropyl alcohol) to remove rubbing buff debris. Common agent is acrylic or epoxy acrylate containing conductive filler,
Alternatively, the sealant may be an acrylic adhesive, an epoxy acrylate, or an epoxy adhesive. Any of heat curing, ultraviolet irradiation curing, ultraviolet irradiation curing and heat curing can be used, but from the viewpoint of overlay accuracy and workability, the ultraviolet irradiation curing and heat curing type is preferable.

【0141】次いで、対向基板98側に所定のギャップ
を得るためのスペーサを散布し、TFT基板61と所定
の位置で重ね合せる。対向基板98側のアライメントマ
ークとTFT基板61側のアライメントマークとを精度
よく合わせた後に、紫外線照射してシール剤を仮硬化さ
せ、その後に一括して加熱硬化する。
Next, spacers for obtaining a predetermined gap are sprayed on the counter substrate 98 side, and are superposed on the TFT substrate 61 at a predetermined position. After the alignment mark on the counter substrate 98 and the alignment mark on the TFT substrate 61 are precisely aligned, the sealant is temporarily cured by irradiating with ultraviolet light, and then heat-cured collectively.

【0142】次いで、スクライブブレークして、TFT
基板61と対向基板98を重ね合せた単個の液晶パネル
を作成する。
Next, a scribe break is performed to
A single liquid crystal panel in which the substrate 61 and the counter substrate 98 are overlapped is created.

【0143】次いで、液晶95を両基板61−98間の
ギャップ内に注入し、注入口を紫外線接着剤で封止後
に、IPA洗浄する。液晶の種類は何れでも良いが、例
えばネマティック液晶を用いる高速応答のTN(ツイス
トネマティック)モードが一般的である。
Next, the liquid crystal 95 is injected into the gap between the substrates 61-98, the injection port is sealed with an ultraviolet adhesive, and then IPA cleaning is performed. Any type of liquid crystal may be used, but for example, a high-speed response TN (twisted nematic) mode using a nematic liquid crystal is generally used.

【0144】次いで、加熱急冷処理して、液晶95を配
向させる。
Next, the liquid crystal 95 is oriented by heating and quenching.

【0145】次いで、TFT基板61のパネル電極取り
出し部にフレキシブル配線を異方性導電膜の熱圧着で接
続し、更に対向基板98に偏光板を貼合わせる。
Next, a flexible wiring is connected to the panel electrode take-out portion of the TFT substrate 61 by thermocompression bonding of an anisotropic conductive film, and a polarizing plate is bonded to the counter substrate 98.

【0146】また、液晶パネルの面単組立の場合(2イ
ンチサイズ以下の小型液晶パネルに適している。)、上
記と同様、TFT基板61と対向基板98の素子形成面
に、ポリイミド配向膜94、96を形成し、両基板をラ
ビング、又は非接触の線型偏光紫外線光の配向処理す
る。
Also, in the case of a single liquid crystal panel surface assembly (suitable for a small liquid crystal panel having a size of 2 inches or less), a polyimide alignment film 94 is formed on the element forming surfaces of the TFT substrate 61 and the counter substrate 98 in the same manner as described above. , 96, and both substrates are subjected to rubbing or non-contact linear polarization ultraviolet light alignment treatment.

【0147】次いで、TFT基板61と対向基板98を
ダイシング又はスクライブブレークで単個に分割し、水
又はIPA洗浄する。TFT基板61にはコモン剤塗
布、対向基板98にはスペーサ含有のシール剤塗布し、
両基板を重ね合せる。これ以降のプロセスは上記に準ず
る。
Next, the TFT substrate 61 and the opposing substrate 98 are divided into single pieces by dicing or scribe break, and washed with water or IPA. A common agent is applied to the TFT substrate 61, a sealing agent containing a spacer is applied to the counter substrate 98,
Lay both substrates together. Subsequent processes follow the above.

【0148】上記したLCDにおいて、対向基板98は
CF(カラーフィルタ)基板であって、カラーフィルタ
層(図示せず)をITO電極97下に設けたものであ
る。対向基板98側からの入射光は例えば反射膜93で
効率良く反射されて対向基板98側から出射してよい。
In the LCD described above, the counter substrate 98 is a CF (color filter) substrate in which a color filter layer (not shown) is provided below the ITO electrode 97. The incident light from the counter substrate 98 side may be efficiently reflected by, for example, the reflection film 93 and may be emitted from the counter substrate 98 side.

【0149】他方、TFT基板61として、TFT基板
61にカラーフィルタを設けたオンチップカラーフィル
タ(OCCF)構造のTFT基板とするときには、対向
基板98にはITO電極がベタ付け(又はブラックマス
ク付きのITO電極がベタ付け)される。
On the other hand, when the TFT substrate 61 is a TFT substrate having an on-chip color filter (OCCF) structure in which a color filter is provided on the TFT substrate 61, the counter substrate 98 is provided with a solid ITO electrode (or a black mask). The ITO electrode is solid).

【0150】透過型LCDの場合、次のようにしてオン
チップカラーフィルタ(OCCF)構造とオンチップブ
ラック(OCB)構造を作製することができる。
In the case of a transmission type LCD, an on-chip color filter (OCCF) structure and an on-chip black (OCB) structure can be manufactured as follows.

【0151】即ち、図14の(11)に示すように、フ
ォスフィンシリケートガラス/酸化シリコンの絶縁膜8
6のドレイン部も窓開けしてドレイン電極用のアルミニ
ウム埋込み層を形成した後、R、G、Bの各色を各セグ
メント毎に顔料分散したフォトレジスト99を所定厚さ
(1〜1.5μm)で形成した後、汎用フォトリソグラ
フィ技術で所定位置(各画素部)のみを残すパターニン
グで各カラーフィルタ層99(R)、99(G)、99
(B)を形成する(オンチップカラーフィルタ構造)。
この際、ドレイン部の窓開けも行う。なお、不透明なセ
ラミック基板や低透過率のガラス及び耐熱性樹脂基板は
使用できない。
That is, as shown in FIG. 14 (11), the insulating film 8 of phosphine silicate glass / silicon oxide
The drain portion of No. 6 was also opened to form an aluminum buried layer for the drain electrode, and then a photoresist 99 in which each color of R, G, and B was dispersed in a pigment for each segment to a predetermined thickness (1 to 1.5 μm). After the formation, the color filter layers 99 (R), 99 (G), and 99 are patterned by a general-purpose photolithography technique to leave only predetermined positions (each pixel portion).
(B) is formed (on-chip color filter structure).
At this time, the window of the drain part is also opened. In addition, an opaque ceramic substrate, glass with low transmittance, and a heat-resistant resin substrate cannot be used.

【0152】次いで、表示用TFTのドレインに連通す
るコンタクトホールに、カラーフィルタ層上にかけてブ
ラックマスク層となる遮光層100’を金属のパターニ
ングで形成する。例えば、スパッタ法により、モリブデ
ンを200〜250nm厚で成膜し、表示用MOSTF
Tを覆って遮光する所定の形状にパターニングする(オ
ンチップブラック構造)。
Next, in a contact hole communicating with the drain of the display TFT, a light-shielding layer 100 'serving as a black mask layer is formed by metal patterning over the color filter layer. For example, a molybdenum film having a thickness of 200 to 250 nm is formed by sputtering,
Patterning into a predetermined shape that covers T and shields light (on-chip black structure).

【0153】次いで、透明樹脂の平坦化膜92を形成
し、更にこの平坦化膜に設けたスルーホールにITO透
明電極93を遮光層100’に接続するように形成す
る。
Next, a flattening film 92 made of a transparent resin is formed, and further, an ITO transparent electrode 93 is formed in a through hole provided in the flattening film so as to be connected to the light shielding layer 100 '.

【0154】このように、表示アレイ部上に、カラーフ
ィルタ99やブラックマスク100’を作り込むことに
より、液晶表示パネルの開口率を改善し、またバックラ
イトも含めたディスプレイモジュールの低消費電力化が
実現する。
As described above, by forming the color filter 99 and the black mask 100 'on the display array section, the aperture ratio of the liquid crystal display panel is improved, and the power consumption of the display module including the backlight is reduced. Is realized.

【0155】図15は、上述のトップゲート型MOST
FTを組み込んで駆動回路一体型に構成したアクティブ
マトリクス液晶表示装置(LCD)の全体を概略的に示
すものである。このアクティブマトリクスLCDは、主
基板61(これはアクティブマトリクス基板を構成す
る。)と対向基板98とをスペーサ(図示せず)を介し
て貼り合わせたフラットパネル構造からなり、両基板6
1−98間に液晶(ここでは図示せず)が封入されてい
る。主基板61の表面には、マトリクス状に配列した画
素電極93と、この画素電極を駆動するスイッチング素
子とからなる表示部、及びこの表示部に接続される周辺
駆動回路部とが設けられている。
FIG. 15 shows the above-described top gate type MOST.
1 schematically shows the entirety of an active matrix liquid crystal display device (LCD) configured with a drive circuit integrated by incorporating an FT. This active matrix LCD has a flat panel structure in which a main substrate 61 (which constitutes an active matrix substrate) and a counter substrate 98 are bonded via a spacer (not shown).
Liquid crystal (not shown) is sealed between 1-98. On the surface of the main substrate 61, a display unit including pixel electrodes 93 arranged in a matrix, a switching element for driving the pixel electrodes, and a peripheral drive circuit unit connected to the display unit are provided. .

【0156】表示部のスイッチング素子は、上記したn
MOS又はpMOS又はCMOSでLDD構造のトップ
ゲート型MOSTFTで構成される。また、周辺駆動回
路部にも、回路要素として、上記したトップゲート型M
OSTFTのCMOS又はnMOS又はpMOSTFT
又はこれらの混在が形成されている。なお、一方の周辺
駆動回路部はデータ信号を供給して各画素のTFTを水
平ライン毎に駆動する水平駆動回路であり、また他方の
周辺駆動回路部は各画素のTFTのゲートを走査ライン
毎に駆動する垂直駆動回路であり、通常は表示部の両辺
にそれぞれ設けられる。これらの駆動回路は、点順次ア
ナログ方式、線順次デジタル方式のいずれも構成でき
る。
The switching element of the display unit is n
It is composed of a MOS, pMOS or CMOS top-gate MOSTFT having an LDD structure. In the peripheral drive circuit section, the above-mentioned top gate type M
OSTFT CMOS or nMOS or pMOSTFT
Alternatively, a mixture of these is formed. Note that one of the peripheral drive circuit units is a horizontal drive circuit that supplies a data signal to drive the TFT of each pixel for each horizontal line, and the other peripheral drive circuit unit connects the gate of the TFT of each pixel for each scan line. , And are usually provided on both sides of the display unit. These drive circuits can be configured in either a dot-sequential analog system or a line-sequential digital system.

【0157】図16に示すように、直交するゲートバス
ラインとデータバスラインの交差部に上記のMOSTF
Tが配置され、このMOSTFTを介して液晶容量(C
LC)に画像情報を書き込み、次の情報がくるまで電荷を
保持する。この場合、TFTのチャンネル抵抗だけで保
持させるには十分ではないので、それを補うため液晶容
量と並列に蓄積容量(補助容量)(CS)を付加し、リ
ーク電流による液晶電圧の低下を補ってよい。こうした
LCD用MOSTFTでは、画素部(表示部)に使用す
るTFTの特性と周辺駆動回路に使用するTFTの特性
とでは要求性能が異なり、特に画素部のTFTではオフ
電流の制御、オン電流の確保が重要な問題となる。この
ため、表示部には、後述の如きLDD構造のTFTを設
けることによって、ゲート−ドレイン間に電界がかかり
にくい構造としてチャンネル領域にかかる実効的な電界
を低減させ、オフ電流を低減し、特性の変化も小さくで
きる。しかし、プロセス的には複雑になり、素子サイズ
も大きくなり、かつオン電流が低下するなどの問題も発
生するため、それぞれの使用目的に合わせた最適設計が
必要である。
As shown in FIG. 16, at the intersection of the orthogonal gate bus line and data bus line, the MOSTF
T is disposed, and a liquid crystal capacitance (C
LC ) Writes image information and holds the charge until the next information comes. In this case, it is not enough to hold the TFT channel resistance alone. To compensate for this, a storage capacitor (auxiliary capacitor) (C S ) is added in parallel with the liquid crystal capacitor to compensate for a decrease in the liquid crystal voltage due to leak current. May be. In such a MOSTFT for LCDs, the required performance differs between the characteristics of the TFT used for the pixel portion (display portion) and the characteristics of the TFT used for the peripheral drive circuit. Is an important issue. For this reason, by providing a TFT having an LDD structure as described later in the display portion, an effective electric field applied to the channel region is reduced as a structure in which an electric field is hardly applied between the gate and the drain, and an off current is reduced. Can be reduced. However, the process becomes complicated, the element size becomes large, and problems such as a decrease in on-current occur. Therefore, an optimum design is required for each purpose of use.

【0158】なお、使用可能な液晶としては、TN液晶
(アクティブマトリクス駆動のTNモード用に用いられ
るネマチック液晶)をはじめ、STN(スーパーツイス
テッドネマチック)、GH(ゲスト・ホスト)、PC
(フェーズ・チェンジ)、FLC(強誘電性液晶)、A
FLC(反強誘電性液晶)、PDLC(ポリマー分散型
液晶)等の各種モード用の液晶を採用してよい。
Usable liquid crystals include TN liquid crystal (nematic liquid crystal used for TN mode of active matrix driving), STN (super twisted nematic), GH (guest / host), PC
(Phase change), FLC (ferroelectric liquid crystal), A
Liquid crystals for various modes such as FLC (antiferroelectric liquid crystal) and PDLC (polymer dispersed liquid crystal) may be employed.

【0159】<LCDの製造例2>次に、本実施の形態
による低温プロセスの多結晶性シリコンMOSTFTを
用いたLCD(液晶表示装置)の製造例を示す(この製
造例は後述する有機ELやFEDの表示装置等にも同様
に適用可能である)。
<Manufacturing Example 2 of LCD> Next, a manufacturing example of an LCD (liquid crystal display device) using a polycrystalline silicon MOSTFT of a low-temperature process according to the present embodiment will be described. The present invention is similarly applicable to a display device of an FED and the like.

【0160】この製造例では、上述の製造例1におい
て、基板61としてアルミノけい酸ガラス、ホウケイ酸
ガラス等を使用し、図12の(1)及び(2)の工程を
同様に行う。即ち、基板61上に触媒CVDと触媒AH
A処理の繰り返しにより錫含有(又は非含有)の多結晶
性シリコン膜67を形成してこれをアイランド化し、表
示領域のnMOSTFT部と周辺駆動回路領域のnMO
STFT部及びpMOSTFT部を形成する。この場
合、同時に、ダイオード、コンデンサ、インダクタン
ス、抵抗等の領域を形成する。
In this manufacturing example, aluminosilicate glass, borosilicate glass or the like is used as the substrate 61 in the above-mentioned manufacturing example 1, and the steps (1) and (2) in FIG. 12 are performed in the same manner. That is, the catalyst CVD and the catalyst AH are formed on the substrate 61.
By repeating the A process, a tin-containing (or non-containing) polycrystalline silicon film 67 is formed and islanded, and the nMOSTFT portion in the display region and the nMO TFT in the peripheral drive circuit region are formed.
An STFT section and a pMOSTFT section are formed. In this case, at the same time, regions such as a diode, a capacitor, an inductance, and a resistor are formed.

【0161】次いで、図17の(1)に示すように、各
MOSTFTゲートチャンネル領域のキャリア不純物濃
度を制御してVthを最適化するために、表示領域のnM
OSTFT部と周辺駆動回路領域のnMOSTFT部を
フォトレジスト82でカバーし、周辺駆動回路領域のp
MOSTFT部に、イオン注入又はイオンドーピング法
により例えば燐、ひ素等のn型不純物79を1×1012
atoms/cm2のドーズ量でドーピングし、2×1
17atoms/ccのドナー濃度に設定し、更に図1
7の(2)に示すように、周辺駆動回路領域のpMOS
TFT部をフォトレジスト82でカバーし、表示領域の
nMOSTFT部と周辺駆動回路領域のnMOSTFT
部に、イオン注入又はイオンドーピング法により例えば
ボロン等のp型不純物83を5×1011atoms/c
2のドーズ量でドーピングし、1×1017atoms
/ccのアクセプタ濃度を設定する。
Next, as shown in FIG. 17A, in order to optimize the V th by controlling the carrier impurity concentration of each MOSTFT gate channel region, the nM of the display region is reduced.
The OSTFT part and the nMOSTFT part in the peripheral driving circuit area are covered with a photoresist 82, and the pT in the peripheral driving circuit area is covered.
1 × 10 12 n-type impurities 79 such as phosphorus and arsenic are implanted into the MOSTFT portion by ion implantation or ion doping.
doping at a dose of atoms / cm 2 , 2 × 1
0 17 atoms / cc was set for the donor concentration, and FIG.
As shown in (2) of FIG. 7, the pMOS in the peripheral drive circuit area
The TFT part is covered with a photoresist 82, and the nMOSTFT part in the display area and the nMOSTFT in the peripheral drive circuit area are covered.
5 × 10 11 atoms / c by ion implantation or ion doping.
doping with a dose of m 2 , 1 × 10 17 atoms
/ Cc set acceptor concentration.

【0162】次いで、図17の(3)に示すように、表
示領域のnMOSTFT部にn-型のLDD(Lightly D
oped Drain)部を形成するために、汎用フォトリソグラ
フィ技術により、表示領域のnMOSTFTのゲート部
と周辺駆動領域のpMOSTFT及びnMOSTFT全
部をフォトレジスト82で覆い、露出した表示領域のn
MOSTFTのソース/ドレイン領域に、イオン注入又
はイオンドーピング法により例えば燐等のn型不純物7
9を1×1013atoms/cm2のドーズ量でドーピ
ングし、2×1018atoms/ccのドナー濃度に設
定して、n-型のLDD部を形成する。
Next, as shown in FIG. 17C, the n - type LDD (Lightly D
In order to form an oped drain) portion, the gate portion of the nMOSTFT in the display region and all the pMOSTFTs and nMOSTFTs in the peripheral driving region are covered with a photoresist 82 by a general-purpose photolithography technique, and n in the exposed display region is formed.
An n-type impurity 7 such as phosphorus is implanted into the source / drain region of the MOSTFT by ion implantation or ion doping.
9 is doped at a dose of 1 × 10 13 atoms / cm 2 and the donor concentration is set to 2 × 10 18 atoms / cc to form an n -type LDD portion.

【0163】次いで、図18の(4)に示すように、表
示領域のnMOSTFT部及び周辺駆動回路領域のnM
OSTFT部の全部をフォトレジスト82でカバーし、
周辺駆動回路領域のpMOSTFT部のゲート部をフォ
トレジスト82でカバーして露出したソース、ドレイン
領域に、イオン注入又はイオンドーピング法により例え
ばボロン等のp型不純物83を1×1015atoms/
cm2のドーズ量でドーピングし、2×1020atom
s/ccのアクセプタ濃度に設定してp+型のソース部
84、ドレイン部85を形成する。
Next, as shown in FIG. 18D, the nMOSTFT portion in the display area and the nM TFT in the peripheral drive circuit area are used.
The entire OSTFT portion is covered with a photoresist 82,
A p-type impurity 83 such as boron, for example, is ion-implanted or ion-doped into the source and drain regions exposed by covering the gate portion of the pMOSTFT portion of the peripheral drive circuit region with the photoresist 82 at 1 × 10 15 atoms / s.
doping with a dose of cm 2 , and 2 × 10 20 atoms
A source portion 84 and a drain portion 85 of p + type are formed at an acceptor concentration of s / cc.

【0164】次いで、図18の(5)に示すように、周
辺駆動回路領域のpMOSTFT部をフォトレジスト8
2でカバーし、表示領域のnMOSTFTのゲート及び
LDD部と周辺駆動回路領域のnMOSTFT部のゲー
ト部をフォトレジスト82でカバーし、露出した表示領
域及び周辺駆動領域のnMOSTFTのソース、ドレイ
ン領域に、イオン注入又はイオンドーピング法により例
えば燐、ひ素等のn型不純物79を1×1015atom
s/cm2のドーズ量でイオンドーピングし、2×10
20atoms/ccのドナー濃度に設定し、n+型のソ
ース部80、ドレイン部81を形成する。
Next, as shown in (5) of FIG. 18, the pMOSTFT portion in the peripheral drive circuit region is
2, the gate of the nMOSTFT in the display area and the LDD part and the gate part of the nMOSTFT part in the peripheral drive circuit area are covered with a photoresist 82, and the exposed source and drain areas of the nMOSTFT in the display area and the peripheral drive area are For example, an n-type impurity 79 such as phosphorus or arsenic is doped with 1 × 10 15 atoms by ion implantation or ion doping.
ion doping at a dose of s / cm 2 ,
At a donor concentration of 20 atoms / cc, an n + -type source portion 80 and a drain portion 81 are formed.

【0165】次いで、図18の(6)に示すように、プ
ラズマCVD、TEOS系プラズマCVD、触媒CVD
法等により、ゲート絶縁膜68として、酸化シリコン膜
(40〜50nm厚)、窒化シリコン膜(10〜20n
m厚)、酸化シリコン膜(40〜50nm厚)の積層膜
を形成する。そして、ハロゲンランプ等でのRTA処理
を例えば、約1000℃、10〜30秒行い、添加した
n又はp型不純物を活性化することにより、設定した各
々のキャリア不純物濃度を得る。
Next, as shown in FIG. 18 (6), plasma CVD, TEOS plasma CVD, catalytic CVD
As a gate insulating film 68, a silicon oxide film (40 to 50 nm thick), a silicon nitride film (10 to 20 n
m) and a silicon oxide film (40 to 50 nm thick). Then, RTA treatment with a halogen lamp or the like is performed, for example, at about 1000 ° C. for 10 to 30 seconds, and the added n or p-type impurities are activated to obtain the set respective carrier impurity concentrations.

【0166】この後に、全面に400〜500nm厚の
1%Si入りアルミニウムスパッタ膜を形成し、汎用フ
ォトリソグラフィ及びエッチングにより、全TFTのゲ
ート電極75及びゲートラインを形成する。更にこの後
に、プラズマCVD、触媒CVD法等により、酸化シリ
コン膜(100〜200nm厚)、フォスフィンシリケ
ートガラス(PSG)膜(200〜300nm厚)、窒
化シリコン膜(100〜200nm厚)の積層膜からな
る絶縁膜86を形成する。
Thereafter, a 400-500 nm thick aluminum sputtered film containing 1% Si is formed on the entire surface, and the gate electrodes 75 and gate lines of all TFTs are formed by general-purpose photolithography and etching. After this, a stacked film of a silicon oxide film (100 to 200 nm thick), a phosphine silicate glass (PSG) film (200 to 300 nm thick), and a silicon nitride film (100 to 200 nm thick) is formed by plasma CVD, catalytic CVD, or the like. An insulating film 86 made of is formed.

【0167】次いで、汎用フォトリソグラフィ及びエッ
チング技術により、周辺駆動回路の全TFT部のソース
/ドレイン部及び表示用nMOSTFT部のソース部の
窓開けを行う。窒化シリコン膜はCF4のプラズマエッ
チング、酸化シリコン膜及びリンシリケートガラス膜は
フッ酸系エッチング液でエッチング処理する。
Next, the windows of the source / drain portions of all the TFT portions of the peripheral drive circuit and the source portions of the display nMOSTFT portion are opened by general-purpose photolithography and etching techniques. The silicon nitride film is plasma-etched with CF 4 , and the silicon oxide film and the phosphosilicate glass film are etched with a hydrofluoric acid-based etchant.

【0168】次いで、図18の(7)に示すように、全
面に400〜500nm厚の1%Si入りアルミニウム
スパッタ膜を形成し、汎用フォトリソグラフィ及びエッ
チング技術により、周辺駆動回路の全TFTのソース、
ドレイン電極88、89、90、91を形成すると同時
に、表示用nMOSTFTのソース電極87及びデータ
ラインを形成する。
Next, as shown in FIG. 18 (7), an aluminum sputtered film containing 1% Si having a thickness of 400 to 500 nm is formed on the entire surface, and the source of all the TFTs of the peripheral drive circuit is formed by general-purpose photolithography and etching techniques. ,
At the same time as forming the drain electrodes 88, 89, 90 and 91, the source electrode 87 and the data line of the display nMOSTFT are formed.

【0169】次いで、図示は省略したが、プラズマCV
D、触媒CVD法等により、酸化シリコン膜(100〜
200nm厚)、フォスフィンシリケートガラス膜(P
SG膜;200〜300nm厚)、窒化シリコン膜(1
00〜300nm厚)を全面に形成し、フォーミングガ
ス中で約400℃、1時間、水素化及びシンター処理す
る。その後に、表示用nMOSTFTのドレイン部コン
タクト用窓開けを行う。
Next, although not shown, the plasma CV
D, a silicon oxide film (100 to
200 nm thick), phosphine silicate glass film (P
SG film: 200 to 300 nm thick), silicon nitride film (1
(Thickness: 00 to 300 nm) is formed on the entire surface, and is subjected to hydrogenation and sintering in a forming gas at about 400 ° C. for 1 hour. Thereafter, a window for contacting the drain of the display nMOSTFT is opened.

【0170】ここで、LCDが透過型の場合は、画素開
口部の酸化シリコン膜、フォスフィンシリケートガラス
膜及び窒化シリコン膜は除去し、また反射型の場合は、
画素開口部等の酸化シリコン膜、フォスフィンシリケー
トガラス膜及び窒化シリコン膜は除去する必要はない
(これは上述又は後述のLCDにおいても同様であ
る)。
Here, when the LCD is of a transmission type, the silicon oxide film, the phosphine silicate glass film and the silicon nitride film at the pixel opening are removed.
It is not necessary to remove the silicon oxide film, the phosphine silicate glass film, and the silicon nitride film in the pixel openings and the like (this is the same in the above-described or later-described LCD).

【0171】透過型の場合、図14の(10)と同様
に、全面に、スピンコート等で2〜3μm厚のアクリル
系透明樹脂平坦化膜を形成し、汎用フォトリソグラフィ
及びエッチング技術により、表示用TFTのドレイン側
の透明樹脂窓開けを形成した後、全面に130〜150
nm厚のITOスパッタ膜を形成し、汎用フォトリソグ
ラフィ及びエッチング技術により、表示用nMOSTF
Tのドレイン部とコンタクトしたITO透明電極を形成
する。更に熱処理(フォーミングガス中で200〜25
0℃、1時間)により、コンタクト抵抗の低減化とIT
O透明度向上を図る。
In the case of the transmission type, an acrylic transparent resin flattening film having a thickness of 2 to 3 μm is formed on the entire surface by spin coating or the like as in (10) of FIG. After forming a transparent resin window opening on the drain side of the TFT for
An ITO sputtered film with a thickness of nm is formed, and nMOSTF for display is formed by general-purpose photolithography and etching technology.
An ITO transparent electrode in contact with the drain of T is formed. Further heat treatment (200 to 25 in forming gas)
0 ° C., 1 hour) to reduce contact resistance and reduce IT
O To improve transparency.

【0172】反射型の場合は、全面に、スピンコート等
で2〜3μm厚の感光性樹脂膜を形成し、汎用フォトリ
ソグラフィ及びエッチング技術により、少なくとも画素
部に凹凸形状パターンを形成し、リフローさせて凹凸反
射下部を形成する。同時に、表示用nMOSTFTのド
レイン部の感光性樹脂窓開けを形成する。しかる後、全
面に、300〜400nm厚の1%Si入りアルミニウ
ムスパッタ膜を形成し、汎用フォトリソグラフィ及びエ
ッチング技術により、画素部以外のアルミニウム膜を除
去し、表示用nMOSTFTのドレイン電極と接続した
凹凸形状のアルミニウム反射部を形成する。その後に、
フォーミングガス中で300℃、1時間シンター処理す
る。
In the case of the reflection type, a photosensitive resin film having a thickness of 2 to 3 μm is formed on the entire surface by spin coating or the like, and a concavo-convex pattern is formed at least in the pixel portion by general-purpose photolithography and etching techniques, and reflow is performed. To form a concave and convex reflecting lower portion. At the same time, a photosensitive resin window opening at the drain of the display nMOS TFT is formed. Thereafter, an aluminum sputtered film containing 1% Si with a thickness of 300 to 400 nm is formed on the entire surface, the aluminum film other than the pixel portion is removed by general-purpose photolithography and etching technology, and the irregularities connected to the drain electrode of the display nMOS TFT are formed. An aluminum reflector having a shape is formed. Then,
Sintering is performed at 300 ° C. for 1 hour in a forming gas.

【0173】なお、上記において、nMOSTFTのソ
ース、ドレインを形成した後に、触媒AHA処理すれ
ば、多結晶性シリコン膜の膜温度を局部的に上昇させ、
結晶化が更に促進され、結晶粒界に存在する不整及びス
トレスを低減し、高移動度及び高品質の多結晶性シリコ
ン膜を形成する。同時に、高温の水素分子、水素原子、
活性化水素イオンが有する熱エネルギーが膜に移動し
て、膜温度を局部的に上昇させるので、ゲートチャンネ
ル/ソース/ドレイン領域に注入された燐、ひ素、ボロ
ンイオン等が活性化される。
In the above, if the catalyst AHA treatment is performed after forming the source and drain of the nMOS TFT, the film temperature of the polycrystalline silicon film is locally increased,
Crystallization is further promoted, and irregularities and stress existing at the grain boundaries are reduced, and a high mobility and high quality polycrystalline silicon film is formed. At the same time, hot hydrogen molecules, hydrogen atoms,
Since the thermal energy of the activated hydrogen ions moves to the film and locally increases the film temperature, phosphorus, arsenic, boron ions, etc. implanted in the gate channel / source / drain regions are activated.

【0174】なお、プラズマCVD法によってアモルフ
ァスシリコン含有微結晶シリコン膜を形成した場合、膜
中に10〜30%の水素が含有されるが、触媒AHA処
理によって減少/除去することができて多結晶性シリコ
ン膜化し、その結晶粒界に存在する不整及びストレスを
低減し、高移動度及び高品質の多結晶性シリコン膜を形
成する。又、アモルファスシリコン含有微結晶シリコン
等の膜上又は膜内にシリコン酸化物が存在するときに、
これと還元反応してSiOを生成し、蒸発させるので、
それらの膜上又は膜内のシリコン酸化物を減少/除去さ
せることができ、高移動度及び高品質の多結晶性シリコ
ン膜を形成できる。
When an amorphous silicon-containing microcrystalline silicon film is formed by the plasma CVD method, the film contains 10 to 30% of hydrogen. A polycrystalline silicon film having high mobility and high quality is formed by reducing the irregularity and stress existing in the crystal grain boundaries. Also, when silicon oxide exists on or in a film of amorphous silicon-containing microcrystalline silicon, etc.,
A reduction reaction with this produces SiO and evaporates it,
Silicon oxide on or in these films can be reduced / removed, and a high mobility and high quality polycrystalline silicon film can be formed.

【0175】<ボトムゲート型又はデュアルゲート型M
OSTFT>MOSTFTを組み込んだ例えばLCDに
おいて、上述のトップゲート型に代えて、ボトムゲート
型、デュアルゲート型のMOSTFTからなる透過型L
CDを製造した例を述べる(但し、反射型LCDも同様
である)。
<Bottom Gate Type or Dual Gate Type M
For example, in an LCD incorporating OSTFT> MOSTFT, a transmissive type L composed of a bottom gate type and a dual gate type MOSTFT is used instead of the above-described top gate type.
An example of manufacturing a CD will be described (however, the same applies to a reflective LCD).

【0176】図19(B)に示すように、表示部及び周
辺部にはボトムゲート型のnMOSTFTが設けられ、
或いは図19(C)に示すように、表示部及び周辺部に
はデュアルゲート型のnMOSTFTがそれぞれ設けら
れている。これらのボトムゲート型、デュアルゲート型
MOSTFTのうち、特にデュアルゲート型の場合には
上下のゲート部によって駆動能力が向上し、高速スイッ
チングに適し、また上下のゲート部のいずれかを選択的
に用いて場合に応じてトップゲート型又はボトムゲート
型として動作させることもできる。
As shown in FIG. 19B, a bottom gate type nMOSTFT is provided in the display portion and the peripheral portion.
Alternatively, as shown in FIG. 19C, dual-gate nMOS TFTs are provided in the display portion and the peripheral portion, respectively. Of these bottom gate type and dual gate type MOS TFTs, especially in the case of the dual gate type, the driving capability is improved by the upper and lower gate portions, suitable for high-speed switching, and selectively using one of the upper and lower gate portions. Depending on the case, it can be operated as a top gate type or a bottom gate type.

【0177】図19(B)のボトムゲート型MOSTF
Tにおいて、図中の102はMo・Ta等のゲート電極
であり、103は窒化シリコン膜及び104は酸化シリ
コン膜であってゲート絶縁膜を形成し、このゲート絶縁
膜上にはトップゲート型MOSTFTと同様の多結晶性
シリコン膜67を用いたチャンネル領域等が形成されて
いる。また、図19(C)のデュアルゲート型MOST
FTにおいて、下部ゲート部はボトムゲート型MOST
FTと同様であるが、上部ゲート部は、ゲート絶縁膜1
04を酸化シリコン膜と窒化シリコン膜、必要に応じて
更に酸化シリコン膜の積層膜で形成し、この上に上部ゲ
ート電極105を設けている。
The bottom gate type MOSTF shown in FIG.
In the figure, reference numeral 102 denotes a gate electrode of Mo / Ta, etc., 103 denotes a silicon nitride film and 104 denotes a silicon oxide film to form a gate insulating film. On this gate insulating film, a top gate type MOS TFT A channel region and the like using the same polycrystalline silicon film 67 are formed. Further, the dual gate type MOST shown in FIG.
In the FT, the lower gate portion is a bottom gate type MOST
Same as FT, except that the upper gate is
04 is formed of a laminated film of a silicon oxide film and a silicon nitride film and, if necessary, a silicon oxide film, and an upper gate electrode 105 is provided thereon.

【0178】<ボトムゲート型MOSTFTの製造>ま
ず、ガラス基板61上の全面に、モリブデン−タンタル
合金のスパッタ膜を300〜400nm厚に形成し、こ
れを汎用フォトリソグラフィ及びエッチング技術により
20〜45度のテーパーエッチングし、少なくともTF
T形成領域に、ボトムゲート電極102を形成すると同
時に、ゲートラインを形成する。ガラス材質の使い分け
は上述したトップゲート型に準ずる。
<Manufacture of Bottom Gate MOSTFT> First, a sputtered film of a molybdenum-tantalum alloy is formed to a thickness of 300 to 400 nm on the entire surface of a glass substrate 61, and this is formed by a general-purpose photolithography and etching technique at 20 to 45 degrees. Taper etching at least TF
A gate line is formed at the same time as the bottom gate electrode 102 is formed in the T formation region. The selection of the glass material is in accordance with the above-mentioned top gate type.

【0179】次いで、プラズマCVD、TEOS系プラ
ズマCVD、触媒CVD、減圧CVD等の気相成長法に
より、ゲート絶縁膜及び保護膜用の窒化シリコン膜10
3及び酸化シリコン膜104と、錫含有のアモルファス
シリコン含有微結晶シリコン膜とを形成する。この膜は
上述したと同様に更に触媒AHA処理を繰り返して多結
晶性シリコン膜67を形成する。これらの気相成膜条件
は上述したトップゲート型に準ずる。なお、ボトムゲー
ト絶縁膜及び保護膜用の窒化シリコン膜はガラス基板か
らのNaイオンストッパ作用を期待して設けるものであ
るが、合成石英ガラスの場合は不要である。
Next, a silicon nitride film 10 for a gate insulating film and a protective film is formed by a vapor phase growth method such as plasma CVD, TEOS plasma CVD, catalytic CVD, and low pressure CVD.
3 and a silicon oxide film 104, and a tin-containing amorphous silicon-containing microcrystalline silicon film. This film is further subjected to the catalytic AHA treatment as described above to form a polycrystalline silicon film 67. These vapor deposition conditions are based on the above-mentioned top gate type. Note that the bottom gate insulating film and the silicon nitride film for the protective film are provided in expectation of the Na ion stopper function from the glass substrate, but are unnecessary in the case of synthetic quartz glass.

【0180】これ以降のプロセスは上述したものに準ず
るが、すでに上記の工程でゲート電極を形成しているの
で、ここではゲート電極用多結晶シリコン膜形成、ゲー
ト電極形成、ゲート多結晶シリコン酸化工程は不要であ
る。
The subsequent processes are the same as those described above. However, since the gate electrode has already been formed in the above-described steps, the steps of forming a polycrystalline silicon film for a gate electrode, forming a gate electrode, and oxidizing a gate polycrystalline silicon are performed here. Is unnecessary.

【0181】そして次に、上述したと同様に、pMOS
TFT、nMOSTFT領域をアイランド化し(但し、
一方の領域のみを図示:以下、同様)、各チャンネル領
域のキャリア不純物濃度を制御してVthを最適化するた
めに、イオン注入又はイオンドーピング法によりn型又
はp型不純物を適当量混入した後、更に、各MOSTF
Tのソース、ドレイン領域を形成するためにイオン注入
又はイオンドーピング法によりn型又はp型不純物を適
当量混入させる。この後に、不純物活性化のために触媒
AHA処理する。
Then, as described above, the pMOS
The TFT and nMOS TFT regions are made islands (however,
Only one region is shown: the same applies hereinafter), and an appropriate amount of n-type or p-type impurities is mixed by ion implantation or ion doping to control the carrier impurity concentration in each channel region to optimize Vth . Later, each MOSTF
In order to form T source and drain regions, an appropriate amount of n-type or p-type impurities is mixed by ion implantation or ion doping. Thereafter, a catalyst AHA treatment is performed to activate the impurities.

【0182】これ以降のプロセスは、上述したものに準
ずる。
[0182] The subsequent processes are the same as those described above.

【0183】<デュアルゲート型MOSTFTの製造>
上記のボトムゲート型と同様に、ボトムゲート電極10
2、ゲート絶縁膜103及び104、多結晶性シリコン
膜67をそれぞれ形成する。但し、ボトムゲート絶縁膜
及び保護膜用の窒化シリコン膜103はガラス基板から
のNaイオンストッパ作用を期待して設けるものである
が、合成石英ガラスの場合は不要である。
<Manufacture of Dual Gate MOSTFT>
Similarly to the above bottom gate type, the bottom gate electrode 10
2. The gate insulating films 103 and 104 and the polycrystalline silicon film 67 are formed. However, the silicon nitride film 103 for the bottom gate insulating film and the protective film is provided in expectation of the Na ion stopper function from the glass substrate, but is unnecessary in the case of synthetic quartz glass.

【0184】そして次に、上述したと同様に、pMOS
TFT、nMOSTFT領域をアイランド化し、各チャ
ンネル領域のキャリア不純物濃度を制御してVthを最適
化するために、イオン注入又はイオンドーピング法によ
りn型又はp型不純物を適当量混入した後、更に、各M
OSTFTのソース、ドレイン領域を形成するためにイ
オン注入又はイオンドーピング法によりn型又はp型不
純物を適当量混入させる。この後に、不純物活性化のた
めに触媒AHA処理する。
Then, as described above, the pMOS
In order to optimize the V th by controlling the carrier impurity concentration of each channel region by forming the islands of the TFT and nMOS TFT regions, an appropriate amount of n-type or p-type impurities are mixed by ion implantation or ion doping, and then, Each M
In order to form the source and drain regions of the OSTFT, an appropriate amount of n-type or p-type impurities is mixed by ion implantation or ion doping. Thereafter, a catalyst AHA treatment is performed to activate the impurities.

【0185】次いで、トップゲート絶縁膜106用の酸
化シリコン膜及び窒化シリコン膜、必要に応じて更に酸
化シリコン膜の積層膜を成膜する。気相成長条件は上述
したトップゲート型に準ずる。
Next, a stacked film of a silicon oxide film and a silicon nitride film for the top gate insulating film 106 and, if necessary, a silicon oxide film are further formed. The vapor phase growth conditions are based on the above-mentioned top gate type.

【0186】この後に、全面に400〜500nm厚の
1%Si入りアルミニウムスパッタ膜を形成し、汎用フ
ォトリグラフィ及びエッチング技術により、全TFTの
トップゲート電極75及びゲートラインを形成する。こ
の後に、プラズマCVD、触媒CVD法等により、酸化
シリコン膜(100〜200nm厚)、フォスフィンシ
リケートガラス(PSG)膜(200〜300nm厚)
からなる絶縁膜86を形成する。次に、汎用フォトリソ
グラフィ及びエッチング技術により、周辺駆動回路の全
MOSTFTのソース、ドレイン電極部、さらに表示部
nMOSTFTのソース電極部の窓開けを行う。
Thereafter, a 400-500 nm thick aluminum sputtered film containing 1% Si is formed on the entire surface, and the top gate electrodes 75 and gate lines of all TFTs are formed by general-purpose photolithography and etching techniques. Thereafter, a silicon oxide film (100 to 200 nm thick) and a phosphine silicate glass (PSG) film (200 to 300 nm thick) are formed by plasma CVD, catalytic CVD, or the like.
An insulating film 86 made of is formed. Next, windows of the source and drain electrode portions of all the MOSTFTs of the peripheral drive circuit and the source electrode portion of the display portion nMOSTFT are opened by general-purpose photolithography and etching technology.

【0187】次いで、全面に400〜500nm厚の1
%Si入りアルミニウムスパッタ膜を形成し、汎用フォ
トリソグラフィ及びエッチング技術により、ソース及び
ドレインの各アルミニウム電極87、88及び89、ソ
ースライン及び配線等を形成する。次いで、図示は省略
したが、プラズマCVD、触媒CVD法等により、酸化
シリコン膜(100〜200nm厚)、フォスフィンシ
リケートガラス膜(PSG膜;200〜300nm
厚)、窒化シリコン膜(100〜300nm厚)を全面
に形成し、フォーミングガス中で約400℃、1時間、
水素化及びシンター処理する。その後に、表示用nMO
STFTのドレイン部コンタクト用窓開けを行う。
Next, a 400-500 nm thick 1
An aluminum sputtered film containing% Si is formed, and source and drain aluminum electrodes 87, 88 and 89, a source line and a wiring are formed by general-purpose photolithography and etching techniques. Next, although not shown, a silicon oxide film (100 to 200 nm thick) and a phosphine silicate glass film (PSG film; 200 to 300 nm) are formed by plasma CVD, catalytic CVD, or the like.
Thickness), a silicon nitride film (100-300 nm thick) is formed on the entire surface, and is formed at about 400 ° C. for one hour in a forming gas.
Hydrogenate and sinter. Then, display nMO
A drain contact window is opened for the STFT.

【0188】上述したように、本実施の形態によれば、
上述の第1の実施の形態と同様に、触媒CVDと触媒A
HA処理とを繰り返し、LCDの表示部及び周辺駆動回
路部のMOSTFTのゲートチャンネル、ソース及びド
レイン領域となる、高キャリア移動度でVth調整が容易
であり、低抵抗での高速動作が可能な多結晶性シリコン
膜を形成することができる。この多結晶性シリコン膜に
よるトップゲート、ボトムゲート又はデュアルゲート型
MOSTFTを用いた液晶表示装置は、高いスイッチン
グ特性と低リーク電流のLDD構造を有する表示部と、
高い駆動能力のCMOS、又はnMOS、又はpMOS
周辺駆動回路を一体化した構成が可能となり、高画質、
高精細、狭額縁、高効率、安価な液晶パネルの実現が可
能である。
As described above, according to the present embodiment,
As in the first embodiment, the catalyst CVD and the catalyst A
The HA process is repeated, and becomes the gate channel, source and drain regions of the MOSTFT of the LCD display unit and the peripheral drive circuit unit. Vth adjustment is easy with high carrier mobility, and high-speed operation with low resistance is possible. A polycrystalline silicon film can be formed. A liquid crystal display device using a top gate, a bottom gate or a dual gate type MOSTFT made of this polycrystalline silicon film has a display portion having an LDD structure with high switching characteristics and low leakage current,
High drive capability CMOS or nMOS or pMOS
A configuration that integrates the peripheral drive circuit becomes possible, and high image quality,
High-definition, narrow-frame, high-efficiency, inexpensive liquid crystal panels can be realized.

【0189】そして、低温(300〜400℃)で形成
できるので、安価で、大型化が容易な低歪点ガラスを採
用でき、コストダウンが可能となる。しかも、アレイ部
上にカラーフィルタやブラックマスクを作り込むことに
より、液晶表示パネルの開口率、輝度等を改善し、カラ
ーフィルタ基板を不要とし、生産性改善等によるコスト
ダウンが実現する。
Since the glass can be formed at a low temperature (300 to 400 ° C.), it is possible to use a low strain point glass which is inexpensive and can be easily enlarged, and the cost can be reduced. In addition, by forming a color filter and a black mask on the array portion, the aperture ratio and luminance of the liquid crystal display panel are improved, a color filter substrate is not required, and cost reduction is achieved by improving productivity and the like.

【0190】第3の実施の形態 本実施の形態は、本発明を有機又は無機のエレクトロル
ミネセンス(EL)表示装置、例えば有機EL表示装置
に適用したものである。以下にその構造例と製造例を示
す。
Third Embodiment In the present embodiment, the present invention is applied to an organic or inorganic electroluminescence (EL) display device, for example, an organic EL display device. An example of the structure and a manufacturing example are shown below.

【0191】<有機EL素子の構造例I>図20
(A)、(B)に示すように、この構造例Iによれば、
ガラス等の基板111上に、本発明に基づいて上述した
方法で形成された高結晶化率、大粒径の多結晶性シリコ
ン膜によって、スイッチング用MOSTFT1と電流駆
動用MOSTFT2のゲートチャンネル117、ソース
領域120及びドレイン領域121が形成されている。
そして、ゲート絶縁膜118上にゲート電極115、ソ
ース及びドレイン領域上にソース電極127及びドレイ
ン電極128、131が形成されている。MOSTFT
1のドレインとMOSTFT2のゲートとはドレイン電
極128を介して接続されていると共に、MOSTFT
2のソース電極127との間に絶縁膜136を介してキ
ャパシタCが形成され、かつ、MOSTFT2のドレイ
ン電極131は有機EL素子の陰極138にまで延設さ
れている。
<Structural Example I of Organic EL Element> FIG.
As shown in (A) and (B), according to this structural example I,
The gate channel 117 and the source of the switching MOSTFT 1 and the current driving MOSTFT 2 are formed on a substrate 111 made of glass or the like by a polycrystalline silicon film having a high crystallization rate and a large grain size formed by the method described above according to the present invention. A region 120 and a drain region 121 are formed.
Further, a gate electrode 115 is formed on the gate insulating film 118, and a source electrode 127 and drain electrodes 128 and 131 are formed on the source and drain regions. MOSTFT
1 and the gate of the MOSTFT2 are connected via a drain electrode 128 and
A capacitor C is formed between the source electrode 127 and the second source electrode 127 via an insulating film 136, and the drain electrode 131 of the MOSTFT 2 extends to the cathode 138 of the organic EL element.

【0192】各MOSTFTは絶縁膜130で覆われ、
この絶縁膜上には陰極を覆うように有機EL素子の例え
ば緑色有機発光層132(又は青色有機発光層133、
更には図示しない赤色有機発光層)が形成され、この有
機発光層を覆うように陽極(1層目)134が形成さ
れ、更に共通の陽極(2層目)135が全面に形成され
ている。なお、CMOSTFTからなる周辺駆動回路、
映像信号処理回路、メモリー回路等の製法は、上述した
液晶表示装置に準ずる(以下、同様)。
Each MOSTFT is covered with an insulating film 130,
On this insulating film, for example, a green organic light emitting layer 132 (or a blue organic light emitting layer 133,
Further, a red organic light emitting layer (not shown) is formed, an anode (first layer) 134 is formed so as to cover the organic light emitting layer, and a common anode (second layer) 135 is formed on the entire surface. In addition, a peripheral driving circuit composed of a CMOS TFT,
The method of manufacturing the video signal processing circuit, the memory circuit, and the like conforms to the above-described liquid crystal display device (the same applies hereinafter).

【0193】この構造の有機EL表示部は、有機EL発
光層が電流駆動用MOSTFT2のドレインに接続さ
れ、陰極(Li−Al、Mg−Agなど)138がガラ
ス等の基板111の面に被着され、陽極(ITO膜な
ど)134、135がその上部に設けられており、従っ
て、上面発光136となる。また、陰極がMOSTFT
上を覆っている場合は発光面積が大きくなり、このとき
には陰極が遮光膜となり、発光光等がMOSTFTに入
射しないのでリーク電流発生がなく、TFT特性の悪化
がない。
In the organic EL display portion having this structure, the organic EL light emitting layer is connected to the drain of the current driving MOSTFT 2, and the cathode (Li-Al, Mg-Ag, etc.) 138 is attached to the surface of the substrate 111 such as glass. Then, the anodes (ITO films and the like) 134 and 135 are provided on the upper portion thereof, and therefore, the top emission 136 is obtained. The cathode is MOSTFT
If it covers the top, the light emitting area becomes large. At this time, the cathode serves as a light-shielding film, and emitted light and the like do not enter the MOSTFT, so that no leak current is generated and the TFT characteristics do not deteriorate.

【0194】また、各画素部周辺に図20(C)のよう
にブラックマスク部(クロム、二酸化クロム等)140
を形成すれば、光漏れ(クロストーク等)を防止し、コ
ントラストの向上が図れる。
Further, as shown in FIG. 20C, a black mask portion (chromium, chromium dioxide, etc.) 140 is formed around each pixel portion.
Is formed, light leakage (such as crosstalk) can be prevented, and the contrast can be improved.

【0195】なお、画素表示部に緑色、青色、赤色の3
色発光層を使用する方法、色変換層を使用する方法、白
色発光層にカラーフィルターを使用する方法のいずれで
も、良好なフルカラーのEL表示装置が実現でき、ま
た、各色発光材料である高分子化合物のスピンコーティ
ング法、又は金属錯体の真空加熱蒸着法においても、長
寿命、高精度、高品質、高信頼性のフルカラー有機EL
部を生産性良く作成できるので、コストダウンが可能と
なる(以下、同様)。
It should be noted that green, blue and red colors are displayed on the pixel display section.
Either a method using a color light-emitting layer, a method using a color conversion layer, or a method using a color filter for a white light-emitting layer can realize a good full-color EL display device, and a polymer that is a light-emitting material for each color. Long-life, high-precision, high-quality, high-reliability full-color organic EL even in compound spin coating or metal complex vacuum evaporation
Since the parts can be created with high productivity, the cost can be reduced (the same applies hereinafter).

【0196】次に、この有機EL素子の製造プロセスを
説明すると、まず、図21の(1)に示すように、上述
した工程を経て多結晶性シリコン膜からなるソース領域
120、チャンネル領域117及びドレイン領域121
を形成した後、ゲート絶縁膜118を形成し、この上に
MOSTFT1、2のゲート電極115をMo−Ta合
金等のスパッタリング成膜とフォトリソグラフィ及びエ
ッチング技術により形成し、またMOSTFT1のゲー
ト電極に接続されるゲートラインをスパッタリング成膜
とフォトリソグラフィ及びエッチング技術により(以
下、同様)形成する。そして、オーバーコート膜(酸化
シリコン等)137を触媒CVD等の気相成長法により
(以下、同様)形成後、MOSTFT2のソース電極1
27及びアースラインを形成し、更にオーバーコート膜
(酸化シリコン/窒化シリコン積層膜など)136を形
成する。
Next, the manufacturing process of the organic EL device will be described. First, as shown in FIG. 21A, the source region 120, the channel region 117 and the channel region 117 made of a polycrystalline silicon film are formed through the above-described steps. Drain region 121
Is formed, a gate insulating film 118 is formed, and the gate electrodes 115 of the MOSTFTs 1 and 2 are formed thereon by sputtering film formation of Mo-Ta alloy or the like and photolithography and etching techniques, and are connected to the gate electrode of the MOSTFT1. The gate line to be formed is formed by sputtering film formation, photolithography and etching techniques (hereinafter the same). Then, after an overcoat film (silicon oxide or the like) 137 is formed by a vapor phase growth method such as catalytic CVD (hereinafter the same), the source electrode 1 of the MOSTFT 2 is formed.
27 and an earth line are formed, and an overcoat film (silicon oxide / silicon nitride laminated film) 136 is further formed.

【0197】次いで、図21の(2)に示すように、M
OSTFT1のソース/ドレイン部、MOSTFT2の
ゲート部の窓開けを行った後、図21の(3)に示すよ
うに、1%Si入りAlのスパッタリングと汎用フォト
リソグラフィ及びエッチング技術によりMOSTFT1
のドレイン電極とMOSTFT2のゲート電極を1%S
i入りAl配線128で接続し、同時にMOSTFT1
のソース電極と、この電極に接続される1%Si入りA
lからなるソースラインを形成する。そして、オーバー
コート膜(酸化シリコン/フォスフィンシリケートガラ
ス/窒化シリコン積層膜など)122を形成し、MOS
TFT2のドレイン部の窓開けを行い、MOSTFT2
のドレイン部と接続した発光部の陰極138を形成す
る。
Next, as shown in FIG. 21 (2), M
After opening the windows of the source / drain portion of the OSTFT1 and the gate portion of the MOSTFT2, as shown in FIG. 21 (3), the MOSTFT1 is formed by sputtering of Al containing 1% Si and general-purpose photolithography and etching techniques.
1% S between the drain electrode of
connected with the Al wiring 128 containing i,
Source electrode and A containing 1% Si connected to this electrode.
1 is formed. Then, an overcoat film (silicon oxide / phosphine silicate glass / silicon nitride laminated film, etc.) 122 is formed, and a MOS
Open the window of the drain part of TFT2, MOSTFT2
The cathode 138 of the light-emitting part connected to the drain part of FIG.

【0198】次いで、図21の(4)に示すように、有
機発光層132等及び陽極134、135を形成する。
Next, as shown in FIG. 21D, an organic light emitting layer 132 and the like and anodes 134 and 135 are formed.

【0199】なお、上記において、緑色(G)発光有機
EL層、青色(B)発光有機EL層、赤色(R)発光有
機EL層はそれぞれ、100〜200nm厚に形成する
が、これらの有機EL層は、低分子化合物の場合は真空
加熱蒸着法で形成され、高分子化合物の場合はディッピ
ングコーティング、スピンコーティングなどの塗布法や
インクジェット法によりR、G、B発光ポリマーを配列
する方法が用いられる。金属錯体の場合は、昇華可能な
材料を真空加熱蒸着法で形成される。
In the above description, the green (G) light emitting organic EL layer, the blue (B) light emitting organic EL layer, and the red (R) light emitting organic EL layer are each formed to a thickness of 100 to 200 nm. The layer is formed by a vacuum heating evaporation method in the case of a low molecular weight compound, and in the case of a high molecular weight compound, a method of arranging R, G, B light emitting polymers by an application method such as dipping coating or spin coating or an inkjet method is used. . In the case of a metal complex, a sublimable material is formed by a vacuum heating evaporation method.

【0200】有機EL層には、単層型、二層型、三層型
等があるが、ここでは低分子化合物の三層型の例を示
す。 単層型;陽極/バイポーラー発光層/陰極、 二層型;陽極/ホール輸送層/電子輸送性発光層/陰
極、又は陽極/ホール輸送性発光層/電子輸送層/陰
極、 三層型;陽極/ホール輸送層/発光層/電子輸送層/陰
極、又は陽極/ホール輸送性発光層/キャリアブロック
層/電子輸送性発光層/陰極
The organic EL layer includes a single-layer type, a two-layer type, a three-layer type, and the like. Here, an example of a three-layer type of a low molecular compound is shown. Single layer type; anode / bipolar light emitting layer / cathode, double layer type; anode / hole transporting layer / electron transporting light emitting layer / cathode, or anode / hole transporting light emitting layer / electron transporting layer / cathode, three layer type; Anode / hole transporting layer / light emitting layer / electron transporting layer / cathode, or anode / hole transporting light emitting layer / carrier blocking layer / electron transporting light emitting layer / cathode

【0201】なお、図20(B)の素子において、有機
発光層の代わりに公知の発光ポリマーを用いれば、パッ
シブマトリクス又はアクティブマトリクス駆動の発光ポ
リマー表示装置(LEPD)として構成することができ
る(以下、同様)。
In the element of FIG. 20B, if a known light emitting polymer is used instead of the organic light emitting layer, it can be configured as a light emitting polymer display device (LEPD) driven by a passive matrix or an active matrix (hereinafter, referred to as LEPD). And similar).

【0202】<有機EL素子の構造例II>図22
(A)、(B)に示すように、この構造例IIによれば、
ガラス等の基板111上に、上記の構造例Iと同様に、
本発明に基づいて上述した方法で形成された高結晶化
率、大粒径の多結晶性シリコン膜によって、スイッチン
グ用MOSTFT1と電流駆動用MOSTFT2のゲー
トチャンネル117、ソース領域120及びドレイン領
域121が形成されている。そして、ゲート絶縁膜11
8上にゲート電極115、ソース及びドレイン領域上に
ソース電極127及びドレイン電極128、131が形
成されている。MOSTFT1のドレインとMOSTF
T2のゲートとはドレイン電極128を介して接続され
ていると共に、MOSTFT2のドレイン電極131と
の間に絶縁膜136を介してキャパシタCが形成され、
かつ、MOSTFT2のソース電極127は有機EL素
子の陽極144にまで延設されている。
<Structural Example II of Organic EL Element> FIG.
As shown in (A) and (B), according to this structural example II,
On a substrate 111 made of glass or the like, similar to the above structure example I,
The gate channel 117, the source region 120, and the drain region 121 of the switching MOSTFT1 and the current driving MOSTFT2 are formed by the high crystallization rate and large grain size polycrystalline silicon film formed by the above-described method according to the present invention. Have been. Then, the gate insulating film 11
8, a gate electrode 115 is formed on the source and drain regions, and a source electrode 127 and drain electrodes 128 and 131 are formed on the source and drain regions. MOSTFT drain and MOSTF
The capacitor C is connected to the gate of T2 via the drain electrode 128, and is formed between the gate of T2 and the drain electrode 131 of the MOSTFT2 via the insulating film 136.
In addition, the source electrode 127 of the MOSTFT 2 extends to the anode 144 of the organic EL element.

【0203】各MOSTFTは絶縁膜130で覆われ、
この絶縁膜上には陽極を覆うように有機EL素子の例え
ば緑色有機発光層132(又は青色有機発光層133、
更には図示しない赤色有機発光層)が形成され、この有
機発光層を覆うように陰極(1層目)141が形成さ
れ、更に共通の陰極(2層目)142が全面に形成され
ている。
Each MOSTFT is covered with an insulating film 130,
On the insulating film, for example, a green organic light emitting layer 132 (or a blue organic light emitting layer 133,
Further, a red organic light emitting layer (not shown) is formed, a cathode (first layer) 141 is formed so as to cover the organic light emitting layer, and a common cathode (second layer) 142 is formed on the entire surface.

【0204】この構造の有機EL表示部は、有機EL発
光層が電流駆動用MOSTFT2のソースに接続され、
ガラス等の基板111の面に被着された陽極144を覆
うように有機EL発光層を形成し、その有機EL発光層
を覆うように陰極141を形成し、全面に陰極142を
形成しており、従って、下面発光136となる。また、
陰極が有機EL発光層間及びMOSTFT上を覆ってい
る。即ち、全面に、例えば緑色発光有機EL層を真空加
熱蒸着法等により形成した後に、緑色発光有機EL部を
フォトリソグラフィ及びドライエッチングで形成し、連
続して同様に、青色、赤色発光有機EL部を形成し、最
後に全面に陰極(電子注入層)141をマグネシウム:
銀合金又はアルミニウム:リチウム合金により形成す
る。この全面に更に形成した陰極(電子注入層)で密封
するので、外部から有機EL層間に湿気が侵入すること
を特に全面被着の陰極142により防止して湿気に弱い
有機EL層の劣化や電極の酸化を防止し、長寿命、高品
質、高信頼性が可能となる(これは、図20の構造例I
でも陽極で全面被覆されているため、同様である)。ま
た、陰極141及び142により放熱効果が高まるの
で、発熱による薄膜の構造変化(融解又は再結晶化)が
低減し、長寿命、高品質、高信頼性が可能となる。しか
も、これによって、高精度、高品質のフルカラーの有機
EL層を生産性良く作成できるので、コストダウンが可
能となる。
In the organic EL display section having this structure, the organic EL light emitting layer is connected to the source of the current driving MOSTFT 2,
An organic EL light emitting layer is formed so as to cover the anode 144 attached to the surface of the substrate 111 such as glass, a cathode 141 is formed so as to cover the organic EL light emitting layer, and a cathode 142 is formed over the entire surface. Therefore, bottom emission 136 is obtained. Also,
The cathode covers the organic EL light emitting layer and the MOSTFT. That is, after forming, for example, a green light-emitting organic EL layer on the entire surface by a vacuum heating evaporation method or the like, a green light-emitting organic EL section is formed by photolithography and dry etching. Finally, a cathode (electron injection layer) 141 is formed on the entire surface by magnesium:
Silver alloy or aluminum: formed of a lithium alloy. Since the entire surface is sealed by a cathode (electron injection layer) further formed, the invasion of moisture from the outside to the organic EL layer is particularly prevented by the cathode 142 applied on the entire surface, and the deterioration of the organic EL layer which is weak to moisture and the electrode are prevented. 20 is prevented, and a long life, high quality, and high reliability can be achieved.
However, the same applies because the entire surface is covered with the anode.) In addition, since the heat radiation effect is enhanced by the cathodes 141 and 142, a structural change (melting or recrystallization) of the thin film due to heat generation is reduced, and a long life, high quality, and high reliability can be achieved. In addition, since a high-precision, high-quality, full-color organic EL layer can be produced with high productivity, the cost can be reduced.

【0205】また、各画素部周辺に図22(C)のよう
にブラックマスク部(クロム、二酸化クロム等)140
を形成すれば、光漏れ(クロストーク等)を防止し、コ
ントラストの向上が図れる。なお、このブラックマスク
部140は、酸化シリコン膜143(これはゲート絶縁
膜118と同時に同一材料で形成してよい。)によって
覆われている。
As shown in FIG. 22C, a black mask portion (chrome, chromium dioxide, etc.) 140 is formed around each pixel portion.
Is formed, light leakage (such as crosstalk) can be prevented, and the contrast can be improved. Note that the black mask portion 140 is covered with a silicon oxide film 143 (this may be formed simultaneously with the gate insulating film 118 using the same material).

【0206】次に、この有機EL素子の製造プロセスを
説明すると、まず、図23の(1)に示すように、上述
した工程を経て多結晶性シリコン膜からなるソース領域
120、チャンネル領域117及びドレイン領域121
を形成した後、触媒CVD等の気相成長法によりゲート
絶縁膜118を形成し、1%Si入りAlのスパッタリ
ング成膜及び汎用フォトリソグラフィ及びエッチング技
術によりこの上にMOSTFT1、2のゲート電極11
5を形成し、また1%Si入りAlのスパッタリング成
膜及び汎用フォトリソグラフィ及びエッチング技術によ
りMOSTFT1のゲート電極に接続されるゲートライ
ンを形成する。そして、触媒CVD等の気相成長法によ
りオーバーコート膜(酸化シリコン等)137を形成
後、1%Si入りAlのスパッタリング成膜及び汎用フ
ォトリソグラフィ及びエッチング技術によりMOSTF
T2のドレイン電極131及びVddラインを形成し、更
に触媒CVD等の気相成長法によりオーバーコート膜
(酸化シリコン/窒化シリコン積層膜等)136を形成
する。
Next, the manufacturing process of the organic EL device will be described. First, as shown in FIG. 23A, the source region 120, the channel region 117 and the source region 120 made of a polycrystalline silicon film are subjected to the above-described steps. Drain region 121
Is formed, a gate insulating film 118 is formed by a vapor phase growth method such as catalytic CVD, and the gate electrodes 11 of the MOSTFTs 1 and 2 are formed thereon by sputtering film formation of Al containing 1% Si and general-purpose photolithography and etching techniques.
5 is formed, and a gate line connected to the gate electrode of the MOSTFT 1 is formed by sputtering film formation of Al containing 1% Si and general-purpose photolithography and etching techniques. Then, after forming an overcoat film (silicon oxide or the like) 137 by a vapor phase growth method such as catalytic CVD, a MOSTF is formed by sputtering film formation of Al containing 1% Si and general-purpose photolithography and etching techniques.
A drain electrode 131 of T2 and a Vdd line are formed, and an overcoat film (silicon oxide / silicon nitride laminated film) 136 is formed by a vapor phase growth method such as catalytic CVD.

【0207】次いで、図23の(2)に示すように、汎
用フォトリソグラフィ及びエッチング技術によりMOS
TFT1のソース/ドレイン部、MOSTFT2のゲー
ト部の窓開けを行った後、図23の(3)に示すよう
に、1%Si入りAlのスパッタリング成膜及び汎用フ
ォトリソグラフィ及びエッチング技術により、MOST
FT1のドレインとMOSTFT2のゲートを1%Si
入りAl配線128で接続し、同時にMOSTFT1の
ソースに接続される1%Si入りAlからなるソースラ
インを形成する。そして、オーバーコート膜(酸化シリ
コン/フォスフィンシリケートガラス/窒化シリコン積
層膜など)122を形成し、汎用フォトリソグラフィ及
びエッチング技術によりMOSTFT2のソース部の窓
開けを行い、ITO等のスパッタリング及び汎用フォト
リソグラフィ及びエッチング技術によりMOSTFT2
のソース部と接続した発光部の陽極144を形成する。
Next, as shown in FIG. 23B, the MOS is formed by general-purpose photolithography and etching techniques.
After opening the windows of the source / drain portion of the TFT 1 and the gate portion of the MOSTFT 2, as shown in FIG. 23C, the MOST is formed by sputtering film formation of Al containing 1% Si and general-purpose photolithography and etching techniques.
The drain of FT1 and the gate of MOSTFT2 are 1% Si
A source line made of Al containing 1% Si and connected to the source of the MOSTFT 1 at the same time is formed. Then, an overcoat film (silicon oxide / phosphine silicate glass / silicon nitride laminated film) 122 is formed, a window of the source portion of the MOSTFT 2 is opened by general-purpose photolithography and etching technology, and sputtering such as ITO and general-purpose photolithography are performed. And MOSTFT2 by etching technology
The anode 144 of the light emitting portion connected to the source portion of the light emitting device is formed.

【0208】次いで、図23の(4)に示すように、上
記のように有機発光層132等及び陰極141、142
を形成する。
Next, as shown in FIG. 23D, the organic light emitting layer 132 and the cathodes 141 and 142 are formed as described above.
To form

【0209】なお、以下に述べる有機ELの各層の構成
材料や形成方法は図22の例に適用されるが、図20の
例にも同様に適用されてよい。
The constituent materials and forming method of each layer of the organic EL described below are applied to the example of FIG. 22, but may be similarly applied to the example of FIG.

【0210】緑色発光有機EL層に低分子化合物を用い
る場合は、ガラス基板上の陽極(ホール注入層)である
電流駆動用MOSTFTのドレイン部とコンタクトした
ITO透明電極上に、連続した真空加熱蒸着法により形
成する。 1)ホール輸送層は、アミン系化合物(例えば、トリア
リールアミン誘導体、アリールアミンオリゴマー、芳香
族第三アミン等)等 2)発光層は、緑色発光材料であるトリス(8−ヒドロ
キシキシリノ)Al錯体(Alq)等 3)電子輸送層は、1,3,4−オキサジアゾール誘導
体(OXD)、1,2,4−トリアゾール誘導体(TA
Z)等 4)陰極である電子注入層は、4eV以下の仕事関数を
有する材料で作られるのが好ましい。 例えば、10:1(原子比)のマグネシウム:銀合金の
10〜30nm厚 アルミニウム:リチウム(濃度は0.5〜1%)合金の
10〜30nm厚 ここで、銀は有機界面との接着性を増すためにマグネシ
ウム中に1〜10原子%添加され、リチウムは安定化の
ためにアルミニウム中に濃度は0.5〜1%添加され
る。
When a low molecular weight compound is used for the green light emitting organic EL layer, continuous vacuum heating deposition is performed on the ITO transparent electrode in contact with the drain of the current driving MOSTFT, which is the anode (hole injection layer) on the glass substrate. It is formed by a method. 1) The hole transport layer is made of an amine compound (for example, a triarylamine derivative, an arylamine oligomer, an aromatic tertiary amine, etc.) 2) The light emitting layer is made of tris (8-hydroxyxylino) Al which is a green light emitting material Complex (Alq), etc. 3) The electron transport layer is made of 1,3,4-oxadiazole derivative (OXD), 1,2,4-triazole derivative (TA
Z) etc. 4) The electron injection layer serving as the cathode is preferably made of a material having a work function of 4 eV or less. For example, 10 to 30 nm thickness of a 10: 1 (atomic ratio) magnesium: silver alloy 10 to 30 nm thickness of an aluminum: lithium (concentration: 0.5 to 1%) alloy Here, silver has an adhesive property with an organic interface. 1 to 10 atomic% is added to magnesium for increasing, and lithium is added to aluminum at a concentration of 0.5 to 1% for stabilization.

【0211】緑色画素部を形成するには、緑色画素部を
フォトレジストでマスクし、CCl 4ガスのプラズマエ
ッチングにより陰極である電子注入層のアルミニウム:
リチウム合金を除去し、連続して電子輸送層、発光層、
ホール輸送層の低分子系化合物及びフォトレジストを酸
素プラズマエッチングで除去し、緑色画素部を形成す
る。この時に、フォトレジストの下にはアルミニウム:
リチウム合金があるので、フォトレジストがエッチング
されても問題ない。又、この時に、電子輸送層、発光
層、ホール輸送層の低分子系化合物層は、ホール注入層
のITO透明電極よりも大きい面積とし、後工程で全面
に形成する陰極の電子注入層(マグネシウム:銀合金)
と電気的ショートしないようにする。
To form a green pixel portion, the green pixel portion
Mask with photoresist and CCl FourGas plasma
The aluminum of the electron injection layer which is the cathode by the etching:
Remove the lithium alloy, continuously electron transport layer, light emitting layer,
The low-molecular compound and the photoresist in the hole transport layer are acidified.
Removed by elementary plasma etching to form a green pixel part
You. At this time, the aluminum under the photoresist:
Photoresist is etched due to lithium alloy
There is no problem if it is done. At this time, the electron transport layer,
Layer and the hole transport layer
Area larger than the ITO transparent electrode of
Electron injection layer (magnesium: silver alloy) of cathode formed on
And electrical shorts.

【0212】次に、青色発光有機EL層を低分子化合物
で形成する場合は、ガラス基板上の陽極(ホール注入
層)である電流駆動用TFTのドレイン部とコンタクト
したITO透明電極上に、連続して真空加熱蒸着により
形成する。 1)ホール輸送層は、アミン系化合物(例えば、トリア
リールアミン誘導体、アリールアミンオリゴマー、芳香
族第三アミン等)等 2)発光層は、青色発光材料であるDTVBiのような
ジスチリル誘導体等 3)電子輸送層は、1,3,4−オキサジアゾール誘導
体(TAZ)、1,2,4−トリアゾール誘導体(TA
Z)等 4)陰極である電子注入層は、4eV以下の仕事関数を
有する材料で作られるのが好ましい。 例えば、10:1(原子比)のマグネシウム:銀合金の
10〜30nm厚 アルミニウム:リチウム(濃度は0.5〜1%)合金の
10〜30nm厚 ここで、銀は有機界面との接着性を増すためにマグネシ
ウム中に1〜10原子%添加され、リチウムは安定化の
ためにアルミニウム中に濃度は0.5〜1%添加され
る。
Next, when the blue light-emitting organic EL layer is formed of a low-molecular compound, the blue light-emitting organic EL layer is continuously formed on the ITO transparent electrode in contact with the drain of the current driving TFT which is the anode (hole injection layer) on the glass substrate. And formed by vacuum heating evaporation. 1) The hole transport layer is an amine compound (for example, a triarylamine derivative, an arylamine oligomer, an aromatic tertiary amine, etc.) 2) The light emitting layer is a distyryl derivative such as DTVBi which is a blue light emitting material 3) The electron transport layer is composed of a 1,3,4-oxadiazole derivative (TAZ), a 1,2,4-triazole derivative (TA
Z) etc. 4) The electron injection layer serving as the cathode is preferably made of a material having a work function of 4 eV or less. For example, 10 to 30 nm thickness of a 10: 1 (atomic ratio) magnesium: silver alloy 10 to 30 nm thickness of an aluminum: lithium (concentration: 0.5 to 1%) alloy Here, silver has an adhesive property with an organic interface. 1 to 10 atomic% is added to magnesium for increasing, and lithium is added to aluminum at a concentration of 0.5 to 1% for stabilization.

【0213】青色画素部を形成するには、青色画素部を
フォトレジストでマスクし、CCl 4ガスのプラズマエ
ッチングで陰極である電子注入層のアルミニウム:リチ
ウム合金を除去し、連続して電子輸送層、発光層、ホー
ル輸送層の低分子系化合物及びフォトレジストを酸素プ
ラズマエッチングで除去し、青色画素部を形成する。こ
の時に、フォトレジストの下にはアルミニウム:リチウ
ム合金があるので、フォトレジストがエッチングされて
も問題ない。又、この時に、電子輸送層、発光層、ホー
ル輸送層の低分子系化合物層は、ホール注入層のITO
透明電極よりも大きい面積とし、後工程で全面に形成す
る陰極の電子注入層(マグネシウム:銀合金)と電気的
ショートしないようにする。
In order to form a blue pixel portion, the blue pixel portion
Mask with photoresist and CCl FourGas plasma
Of the electron injection layer which is the cathode in the etching: Li
Alloy, and the electron transport layer, light emitting layer,
Oxygen-transporting the low molecular weight compound and photoresist in the
It is removed by plasma etching to form a blue pixel portion. This
At the time of the photoresist under the aluminum: Lithium
The photoresist is etched
No problem. At this time, the electron transport layer, the light emitting layer,
The low-molecular compound layer of the hole transport layer is made of ITO of the hole injection layer.
Make the area larger than the transparent electrode and form it over the entire surface in a later process
Electron injection layer (magnesium: silver alloy) and electrical
Avoid shorts.

【0214】また、赤色発光有機EL層を低分子化合物
で形成する場合は、ガラス基板上の陽極(ホール注入
層)である電流駆動用TFTのドレイン部とコンタクト
したITO透明電極上に、連続して真空加熱蒸着により
形成する。 1)ホール輸送層は、アミン系化合物(例えば、トリア
リールアミン誘導体、アリールアミンオリゴマー、芳香
族第三アミン等)等 2)発光層は、赤色発光材料であるEu(Eu(DBM)
3(Phen))等 3)電子輸送層は、1,3,4−オキサジアゾール誘導
体(OXD)、1,2,4−トリアゾール誘導体(TA
Z)等 4)陰極である電子注入層は、4eV以下の仕事関数を
有する材料で作られるのが好ましい。 例えば、10:1(原子比)のマグネシウム:銀合金の
10〜30nm厚 アルミニウム:リチウム(濃度は0.5〜1%)合金の
10〜30nm厚 銀は有機界面との接着性を増すためにマグネシウム中に
1〜10原子%添加され、リチウムは安定化のためにア
ルミニウム中に濃度は0.5〜1%添加される。
When the red light-emitting organic EL layer is formed of a low molecular compound, the red light-emitting organic EL layer is continuously formed on the ITO transparent electrode which is in contact with the drain of the current driving TFT which is the anode (hole injection layer) on the glass substrate. Formed by vacuum heating evaporation. 1) The hole transport layer is made of an amine compound (for example, a triarylamine derivative, an arylamine oligomer, an aromatic tertiary amine, etc.) 2) The light emitting layer is made of Eu (Eu (DBM)) which is a red light emitting material
3 ) (Phen)) 3) The electron transport layer is made of a 1,3,4-oxadiazole derivative (OXD), a 1,2,4-triazole derivative (TA
Z) etc. 4) The electron injection layer serving as the cathode is preferably made of a material having a work function of 4 eV or less. For example, a 10: 1 (atomic ratio) magnesium: silver alloy having a thickness of 10 to 30 nm, an aluminum: lithium (concentration of 0.5 to 1%) alloy having a thickness of 10 to 30 nm, silver is used to increase the adhesion to an organic interface. 1 to 10 atomic% is added to magnesium, and lithium is added to aluminum at a concentration of 0.5 to 1% for stabilization.

【0215】赤色画素部を形成するには、赤色画素部を
フォトレジストでマスクし、CCl 4ガスのプラズマエ
ッチングで陰極である電子注入層のアルミニウム:リチ
ウム合金を除去し、連続して電子輸送層、発光層、ホー
ル輸送層の低分子系化合物及びフォトレジストを酸素プ
ラズマエッチングで除去し、赤色画素部を形成する。こ
の時に、フォトレジストの下にはアルミニウム:リチウ
ム合金があるので、フォトレジストがエッチングされて
も問題ない。又、この時に、電子輸送層、発光層、ホー
ル輸送層の低分子系化合物層は、ホール注入層のITO
透明電極よりも大きい面積とし、後工程で全面に形成す
る陰極の電子注入層(マグネシウム:銀合金)と電気的
ショートしないようにする。
To form a red pixel portion, the red pixel portion
Mask with photoresist and CCl FourGas plasma
Of the electron injection layer which is the cathode in the etching: Li
Alloy, and the electron transport layer, light emitting layer,
Oxygen-transporting the low molecular weight compound and photoresist in the
It is removed by plasma etching to form a red pixel portion. This
At the time of the photoresist under the aluminum: Lithium
The photoresist is etched
No problem. At this time, the electron transport layer, the light emitting layer,
The low-molecular compound layer of the hole transport layer is made of ITO of the hole injection layer.
Make the area larger than the transparent electrode and form it over the entire surface in a later process
Electron injection layer (magnesium: silver alloy) and electrical
Avoid shorts.

【0216】陰極である電子注入層は、4eV以下の仕
事関数を有する材料で作られるのが好ましい。例えば、
10:1(原子比)のマグネシウム:銀合金の10〜3
0nm厚、又はアルミニウム:リチウム(濃度は0.5
〜1%)合金の10〜30nm厚とする。ここで、銀は
有機界面との接着性を増すためにマグネシウム中に1〜
10原子%添加され、リチウムは安定化のためにアルミ
ニウム中に濃度は0.5〜1%添加される。なお、スパ
ッタリングで成膜してもよい。
The electron injection layer serving as the cathode is preferably made of a material having a work function of 4 eV or less. For example,
10 to 3 of 10: 1 (atomic ratio) magnesium: silver alloy
0 nm thick, or aluminum: lithium (concentration is 0.5
11%) The thickness of the alloy is 10 to 30 nm. Here, silver is added to magnesium in magnesium in order to increase adhesiveness with an organic interface.
10 atomic% is added, and lithium is added to aluminum at a concentration of 0.5 to 1% for stabilization. Note that the film may be formed by sputtering.

【0217】第4の実施の形態 本実施の形態は、本発明を電界放出型(フィールドエミ
ッション)ディスプレイ装置(FED:Field Emission
Display)に適用したものである。以下にその構造例と
製造例を示す。
Fourth Embodiment In this embodiment, the present invention is applied to a field emission type (field emission) display device (FED: Field Emission).
Display). An example of the structure and a manufacturing example are shown below.

【0218】<FEDの構造例I>図24(A)、
(B)、(C)に示すように、この構造例Iによれば、
ガラス等の基板111上に、本発明に基づいて上述した
方法で形成された高結晶化率、大粒径の多結晶性シリコ
ン膜によって、スイッチング用MOSTFT1と電流駆
動用MOSTFT2のゲートチャンネル117、ソース
領域120及びドレイン領域121が形成されている。
そして、ゲート絶縁膜118上にゲート電極115、ソ
ース及びドレイン領域上にソース電極127及びドレイ
ン電極128が形成されている。MOSTFT1のドレ
インとMOSTFT2のゲートとはドレイン電極128
を介して接続されていると共に、MOSTFT2のソー
ス電極127との間に絶縁膜136を介してキャパシタ
Cが形成され、かつ、MOSTFT2のドレイン領域1
21はそのままFED素子のFEC(電界放出カソー
ド)にまで延設され、エミッタ領域152として機能し
ている。
<Structure Example I of FED> FIG.
As shown in (B) and (C), according to this structural example I,
The gate channel 117 and the source of the switching MOSTFT 1 and the current driving MOSTFT 2 are formed on a substrate 111 made of glass or the like by a polycrystalline silicon film having a high crystallization rate and a large grain size formed by the method described above according to the present invention. A region 120 and a drain region 121 are formed.
Then, a gate electrode 115 is formed over the gate insulating film 118, and a source electrode 127 and a drain electrode 128 are formed over the source and drain regions. The drain of the MOSTFT1 and the gate of the MOSTFT2 are connected to the drain electrode 128.
, A capacitor C is formed between the source electrode 127 of the MOSTFT2 and the source electrode 127 via an insulating film 136, and the drain region 1 of the MOSTFT2 is formed.
Reference numeral 21 extends as it is to the FEC (field emission cathode) of the FED element and functions as an emitter region 152.

【0219】各MOSTFTは絶縁膜130で覆われ、
この絶縁膜上には、FECのゲート引き出し電極150
と同一材料にて同一工程で接地用の金属遮蔽膜151が
形成され、各MOSTFT上を覆っている。FECにお
いては、多結晶性シリコン膜からなるエミッタ領域15
2上に電界放出エミッタとなるn型多結晶性シリコン膜
153が形成され、更にm×n個の各エミッタに区画す
るための開口を有するように、絶縁膜118、137、
136及び130がパターニングされ、この上面にはゲ
ート引き出し電極150が被着されている。
Each MOSTFT is covered with an insulating film 130,
On this insulating film, an FEC gate lead electrode 150 is formed.
A metal shielding film 151 for grounding is formed of the same material and in the same step, and covers each MOSTFT. In the FEC, the emitter region 15 made of a polycrystalline silicon film is used.
An n-type polycrystalline silicon film 153 serving as a field emission emitter is formed on the substrate 2, and the insulating films 118 and 137 are formed so as to have openings for partitioning into m × n emitters.
136 and 130 are patterned, and a gate extraction electrode 150 is attached on the upper surface.

【0220】また、このFECに対向して、バックメタ
ル155付きの蛍光体156をアノードとして形成した
ガラス基板等の基板157が設けられており、FECと
の間は高真空に保持されている。
A substrate 157 such as a glass substrate formed with a phosphor 156 having a back metal 155 as an anode is provided opposite to the FEC, and a high vacuum is maintained between the FEC and the FEC.

【0221】この構造のFECにおいては、ゲート引き
出し電極150の開口下には、本発明に基づいて形成さ
れた多結晶性シリコン膜152上に成長されたn型多結
晶性シリコン膜153が露出し、これがそれぞれ電子1
54を放出する薄膜型のエミッタとして機能する。即
ち、エミッタの下地となる多結晶性シリコン膜152
は、大粒径(グレインサイズ数100nm以上)のグレ
インからなっているため、これをシードとしてその上に
n型多結晶性シリコン膜153を触媒CVD等によって
成長させると、この多結晶性シリコン膜153はさらに
大きな粒径で成長し、表面が電子放出にとって有利な微
細な凹凸158を生じるように形成されるのである。
In the FEC having this structure, the n-type polycrystalline silicon film 153 grown on the polycrystalline silicon film 152 formed according to the present invention is exposed below the opening of the gate extraction electrode 150. , This is each electron 1
It functions as a thin-film type emitter emitting 54. That is, the polycrystalline silicon film 152 serving as the base of the emitter
Is composed of grains having a large grain size (a grain size of several hundred nm or more). When the n-type polycrystalline silicon film 153 is grown thereon by catalytic CVD or the like as a seed, the polycrystalline silicon film 153 grows with a larger particle size, and the surface is formed so as to generate fine irregularities 158 which are advantageous for electron emission.

【0222】従って、エミッタが薄膜からなる面放出型
であるために、その形成が容易であると共に、エミッタ
性能も安定し、長寿命化が可能となる。
Therefore, since the emitter is of a surface emission type composed of a thin film, it can be easily formed, the emitter performance is stabilized, and the life can be extended.

【0223】また、すべての能動素子(これには周辺駆
動回路及び画素表示部のMOSTFTとダイオードが含
まれる。)の上部にアース電位の金属遮蔽膜151(こ
の金属遮蔽膜は、ゲート引き出し電極150と同じ材料
(Nb、Ti/Mo等)、同じ工程で形成すると工程上
都合がよい。)が形成されているので、次の(1)、
(2)の利点を得ることができる。
Further, a metal shielding film 151 of a ground potential is formed on all the active elements (including the peripheral driving circuit and the MOSTFT and the diode of the pixel display section). The same material (Nb, Ti / Mo, etc.) is formed in the same step, which is convenient for the process.) Therefore, the following (1),
The advantage of (2) can be obtained.

【0224】(1)気密容器内にあるガスがエミッタ1
53から放出された電子により正イオン化されて絶縁層
上にチャージアップし、この正電荷が絶縁層下にあるM
OSTFTに不要な反転層を形成し、この反転層からな
る不要な電流経路を介して余分な電流が流れるために、
エミッタ電流の暴走が起きる。しかし、MOSTFT上
の絶縁層に金属遮蔽膜151を形成してアース電位に落
としているので、チャージアップ防止が可能となり、エ
ミッタ電流の暴走を防止できる。
(1) The gas in the airtight container is the emitter 1
The electrons emitted from the electrons 53 are positively ionized and charged up on the insulating layer.
An unnecessary inversion layer is formed in the OSTFT, and an extra current flows through an unnecessary current path including the inversion layer.
Runaway of the emitter current occurs. However, since the metal shielding film 151 is formed on the insulating layer on the MOSTFT and dropped to the ground potential, charge-up can be prevented, and runaway of the emitter current can be prevented.

【0225】(2)エミッタ153から放出された電子
の衝突により蛍光体156が発光するが、この光により
MOSTFTのゲートチャンネル内に電子、正孔が発生
し、リーク電流となる。しかし、MOSTFT上の絶縁
層に金属遮蔽膜151が形成されているので、MOST
FTへの光入射が防止され、MOSTFTの動作不良は
生じない。
(2) The phosphor 156 emits light due to the collision of the electrons emitted from the emitter 153, and this light generates electrons and holes in the gate channel of the MOSTFT, resulting in a leak current. However, since the metal shielding film 151 is formed on the insulating layer on the MOSTFT, the MOST
Light incidence on the FT is prevented, and no operation failure of the MOSTFT occurs.

【0226】次に、このFEDの製造プロセスを説明す
ると、まず、図25の(1)に示すように、上述した工
程を経て全面に多結晶性シリコン膜117を形成した
後、汎用フォトリソグラフィ及びエッチング技術により
MOSTFT1とMOSTFT2及びエミッタ領域にア
イランド化し、プラズマCVD、触媒CVD法等により
全面に保護用酸化シリコン膜159を形成する。
Next, the manufacturing process of this FED will be described. First, as shown in FIG. 25A, after a polycrystalline silicon film 117 is formed on the entire surface through the above-described steps, general-purpose photolithography and An island is formed in the MOSTFT1 and MOSTFT2 and the emitter region by an etching technique, and a protective silicon oxide film 159 is formed on the entire surface by plasma CVD, catalytic CVD, or the like.

【0227】次いで、MOSTFT1、2のゲートチャ
ンネル不純物濃度の制御によるVthの最適化のために、
イオン注入又はイオンドーピング法により全面にボロン
イオン83を5×1011atoms/cm2のドーズ量
でドーピングし、1×1017atoms/ccのアクセ
プタ濃度に設定する。
Next, in order to optimize V th by controlling the gate channel impurity concentration of the MOSTFTs 1 and 2,
The whole surface is doped with boron ions 83 at a dose of 5 × 10 11 atoms / cm 2 by ion implantation or ion doping to set an acceptor concentration of 1 × 10 17 atoms / cc.

【0228】次いで、図25の(2)に示すように、フ
ォトレジスト82をマスクにして、イオン注入又はイオ
ンドーピング法によりMOSTFT1、2のソース/ド
レイン部及びエミッタ領域に燐イオン79を1×1015
atoms/cm2のドーズ量でドーピングし、2×1
20atoms/ccのドナー濃度に設定し、ソース領
域120、ドレイン領域121、エミッタ領域152を
それぞれ形成した後、汎用フォトリソグラフィ及びエッ
チング技術によりエミッタ領域の保護用酸化シリコン膜
を除去する。
Next, as shown in FIG. 25 (2), using the photoresist 82 as a mask, 1 × 10 5 phosphorus ions 79 are applied to the source / drain portions and the emitter regions of the MOSTFTs 1 and 2 by ion implantation or ion doping. Fifteen
doping at a dose of atoms / cm 2 , 2 × 1
After setting the donor concentration to 0 20 atoms / cc and forming the source region 120, the drain region 121, and the emitter region 152, the silicon oxide film for protecting the emitter region is removed by general-purpose photolithography and etching techniques.

【0229】次いで、図25の(3)に示すように、触
媒CVDによりエミッタ領域を形成する多結晶性シリコ
ン膜152をシードに、モノシランとPH3等のドーパ
ントを適量比率で混合し、表面に微細凹凸158を有
し、ドーパントを例えば5×1020〜1×1021ato
ms/cc含有するn型多結晶性シリコン膜153を1
〜5μm厚にエミッタ領域に形成し、同時に他の酸化シ
リコン膜159及びガラス基板111上にはn型アモル
ファスシリコン膜160を1〜5μm厚に形成する。
Next, as shown in FIG. 25C, monosilane and a dopant such as PH 3 are mixed at an appropriate ratio with the polycrystalline silicon film 152 forming the emitter region formed by catalytic CVD as a seed. It has fine irregularities 158 and the dopant is, for example, 5 × 10 20 to 1 × 10 21 at.
ms / cc-containing n-type polycrystalline silicon film 153
An n-type amorphous silicon film 160 is formed in a thickness of 1 to 5 μm on the other silicon oxide film 159 and the glass substrate 111 at the same time.

【0230】次いで、図25の(4)に示すように、上
述した触媒AHA処理時の活性化水素イオンにより、ア
モルファスシリコン膜160をエッチング除去し、酸化
シリコン膜159のエッチング除去後に触媒CVD等に
よりゲート絶縁膜(酸化シリコン膜等)118を形成す
る。
Next, as shown in (4) of FIG. 25, the amorphous silicon film 160 is removed by etching using activated hydrogen ions at the time of the above-mentioned catalytic AHA treatment, and after the silicon oxide film 159 is removed by etching, catalytic CVD or the like is performed. A gate insulating film (such as a silicon oxide film) 118 is formed.

【0231】次いで、図26の(5)に示すように、ス
パッタリング法によるMo−Ta合金等の耐熱性金属に
よりMOSTFT1、2のゲート電極115、MOST
FT1のゲート電極に接続されるゲートラインを形成
し、オーバーコート膜(酸化シリコン膜等)137を形
成した後、MOSTFT2のソース部窓開け後にスパッ
タリング法によるMo−Ta合金等の耐熱性金属でMO
STFT2のソース電極127及びアースラインを形成
する。更に、プラズマCVD、触媒CVD等によりオー
バーコート膜(酸化シリコン/窒化シリコン積層膜な
ど)136を形成し、RTA処理等の1000℃、10
〜20秒のイオン活性化処理を行う。
Next, as shown in (5) of FIG. 26, the gate electrodes 115 of the MOSTFTs 1 and 2 and the MOST are made of a heat-resistant metal such as a Mo—Ta alloy by a sputtering method.
A gate line connected to the gate electrode of the FT1 is formed, an overcoat film (silicon oxide film or the like) 137 is formed, and after opening the source window of the MOSTFT2, MO is formed using a heat-resistant metal such as a Mo-Ta alloy by a sputtering method.
The source electrode 127 of STFT2 and the ground line are formed. Further, an overcoat film (silicon oxide / silicon nitride laminated film) 136 is formed by plasma CVD, catalytic CVD, etc.
Perform an ion activation process for ~ 20 seconds.

【0232】次いで、図26の(6)に示すように、M
OSTFT1のソース/ドレイン部及びMOSTFT2
のゲート部の窓開けを行い、MOSTFT1のドレイン
とMOSTFT2のゲートを1%Si入りAl配線12
8で接続し、同時にMOSTFT1のソース電極とその
ソースに接続されるソースライン127を形成する。
Next, as shown in (6) of FIG.
Source / drain part of OSTFT1 and MOSTFT2
Of the gate of the MOSTFT1 and the gate of the MOSTFT2 are connected to the Al wiring 12 containing 1% Si.
8 and at the same time, a source electrode of the MOSTFT 1 and a source line 127 connected to the source are formed.

【0233】次いで、図26の(7)に示すように、オ
ーバーコート膜(酸化シリコン/フォスフィンシリケー
トガラス/窒化シリコン積層膜など)130を形成した
後、GNDラインの窓開けし、図26の(8)に示すよ
うに、ゲート引き出し電極150や金属遮蔽膜151を
Nb蒸着後のエッチングで形成し、更に電界放出カソー
ド部を窓開けしてエミッタ153を露出させ、上述した
触媒AHA処理の活性化水素イオンでクリーニングす
る。
Next, as shown in FIG. 26 (7), after forming an overcoat film (silicon oxide / phosphine silicate glass / silicon nitride laminated film) 130, a GND line window is opened, and As shown in (8), the gate lead-out electrode 150 and the metal shielding film 151 are formed by etching after Nb deposition, and the field emission cathode is opened to expose the emitter 153. Clean with hydride ions.

【0234】<FEDの構造例II>図27(A)、
(B)、(C)に示すように、この構造例IIによれば、
ガラス等の基板111上に、上記の構造例Iと同様に、
本発明に基づいて上述した方法で形成された高結晶化
率、大粒径の多結晶性シリコン膜によって、スイッチン
グ用MOSTFT1と電流駆動用MOSTFT2のゲー
トチャンネル117、ソース領域120及びドレイン領
域121が形成されている。そして、ゲート絶縁膜11
8上にゲート電極115、ソース及びドレイン領域上に
ソース電極127及びドレイン電極128が形成されて
いる。MOSTFT1のドレインとMOSTFT2のゲ
ートとはドレイン電極128を介して接続されていると
共に、MOSTFT2のソース電極127との間に絶縁
膜136を介してキャパシタCが形成され、かつ、MO
STFT2のドレイン領域121はそのままFED素子
のFEC(電界放出カソード)にまで延設され、エミッ
タ領域152として機能している。
<Structure Example II of FED> FIG.
As shown in (B) and (C), according to this structural example II,
On a substrate 111 made of glass or the like, similar to the above structure example I,
The gate channel 117, the source region 120, and the drain region 121 of the switching MOSTFT1 and the current driving MOSTFT2 are formed by the high crystallization rate and large grain size polycrystalline silicon film formed by the above-described method according to the present invention. Have been. Then, the gate insulating film 11
8, a gate electrode 115 and a source electrode 127 and a drain electrode 128 are formed on the source and drain regions. The drain of the MOSTFT1 and the gate of the MOSTFT2 are connected via a drain electrode 128, and a capacitor C is formed between the drain of the MOSTFT2 and the source electrode 127 of the MOSTFT2 via an insulating film 136.
The drain region 121 of the STFT 2 extends as it is to the FEC (field emission cathode) of the FED element, and functions as the emitter region 152.

【0235】各MOSTFTは絶縁膜130で覆われ、
この絶縁膜上には、FECのゲート引き出し電極150
と同一材料にて同一工程で接地用の金属遮蔽膜151が
形成され、各MOSTFT上を覆っている。FECにお
いては、多結晶シリコン膜からなるエミッタ領域152
上に電界放出エミッタとなるn型多結晶ダイヤモンド膜
163が形成され、更にm×n個の各エミッタに区画す
るための開口を有するように、絶縁膜118、137、
136及び130がパターニングされ、この上面にはゲ
ート引き出し電極150が被着されている。
Each MOSTFT is covered with an insulating film 130,
On this insulating film, an FEC gate lead electrode 150 is formed.
A metal shielding film 151 for grounding is formed of the same material and in the same step, and covers each MOSTFT. In the FEC, an emitter region 152 made of a polycrystalline silicon film is used.
An n-type polycrystalline diamond film 163 serving as a field emission emitter is formed thereon, and insulating films 118 and 137 are formed so as to have openings for partitioning into m × n emitters.
136 and 130 are patterned, and a gate extraction electrode 150 is attached on the upper surface.

【0236】また、このFECに対向して、バックメタ
ル155付きの蛍光体156をアノードとして形成した
ガラス基板等の基板157が設けられており、FECと
の間は高真空に保持されている。
In addition, a substrate 157 such as a glass substrate formed with a phosphor 156 having a back metal 155 as an anode is provided opposite to the FEC, and a high vacuum is maintained between the FEC and the FEC.

【0237】この構造のFECは、ゲート引き出し電極
150の開口下には、本発明に基づいて形成された多結
晶性シリコン膜152上に成長されたn型多結晶ダイヤ
モンド膜163が露出し、これがそれぞれ電子154を
放出する薄膜型のエミッタとして機能する。即ち、エミ
ッタの下地となる多結晶性シリコン膜152は、大粒径
(グレインサイズ数100nm以上)のグレインからな
っているため、これをシードとしてその上にn型多結晶
性ダイヤモンド膜163を触媒CVD等によって成長さ
せると、この多結晶性ダイヤモンド膜163はやはり大
粒径で成長し、表面が電子放出にとって有利な微細な凹
凸168を生じるように形成されるのである。
In the FEC having this structure, an n-type polycrystalline diamond film 163 grown on a polycrystalline silicon film 152 formed according to the present invention is exposed below an opening of a gate lead electrode 150, and this is exposed. Each functions as a thin-film emitter that emits electrons 154. In other words, since the polycrystalline silicon film 152 serving as the base of the emitter is composed of grains having a large grain size (a grain size of 100 nm or more), the n-type polycrystalline diamond film 163 is used as a seed on the catalyst to form a catalyst. When grown by CVD or the like, the polycrystalline diamond film 163 also grows with a large grain size, and the surface is formed so as to generate fine irregularities 168 advantageous for electron emission.

【0238】従って、エミッタが薄膜からなる面放出型
であるために、その形成が容易であると共に、エミッタ
性能も安定し、長寿命化が可能となる。
Therefore, since the emitter is of a surface emission type consisting of a thin film, it can be easily formed, the emitter performance is stabilized, and the life can be extended.

【0239】また、すべての能動素子(これには周辺駆
動回路及び画素表示部のMOSTFTとダイオードが含
まれる。)の上部にアース電位の金属遮蔽膜151(こ
の金属遮蔽膜は、ゲート引き出し電極150と同じ材料
(Nb、Ti/Mo等)、同じ工程で形成すると工程上
都合がよい。)が形成されているので、上述したと同様
に、MOSTFT上の絶縁層に金属遮蔽膜151を形成
してアース電位に落とし、チャージアップ防止が可能と
なり、エミッタ電流の暴走を防止でき、また、MOST
FT上の絶縁層に金属遮蔽膜151が形成されているの
で、MOSTFTへの光入射が防止され、MOSTFT
の動作不良は生じない。
Further, a metal shielding film 151 of a ground potential is formed on all the active elements (including the peripheral driving circuit and the MOSTFT and the diode of the pixel display section). The same material (Nb, Ti / Mo, etc.) is formed in the same step, which is convenient for the process.) As described above, the metal shielding film 151 is formed on the insulating layer on the MOSTFT as described above. To ground potential to prevent charge-up, prevent runaway of emitter current, and reduce MOST
Since the metal shielding film 151 is formed on the insulating layer on the FT, light is prevented from being incident on the MOSTFT.
Does not occur.

【0240】次に、このFEDの製造プロセスを説明す
ると、まず、図28の(1)に示すように、上述した工
程を経て全面に多結晶性シリコン膜117を形成した
後、汎用フォトリソグラフィ及びエッチング技術により
MOSTFT1とMOSTFT2及びエミッタ領域にア
イランド化し、プラズマCVD、触媒CVD法等により
全面に保護用酸化シリコン膜159を形成する。
Next, the manufacturing process of this FED will be described. First, as shown in FIG. 28A, after a polycrystalline silicon film 117 is formed on the entire surface through the above-described steps, general-purpose photolithography and An island is formed in the MOSTFT1 and MOSTFT2 and the emitter region by an etching technique, and a protective silicon oxide film 159 is formed on the entire surface by plasma CVD, catalytic CVD, or the like.

【0241】次いで、MOSTFT1、2のゲートチャ
ンネル不純物濃度の制御によるVthの最適化のために、
イオン注入又はイオンドーピング法により全面にボロン
イオン83を5×1011atoms/cm2のドーズ量
でドーピングし、1×1017atoms/ccのアクセ
プタ濃度に設定する。
Next, in order to optimize V th by controlling the gate channel impurity concentration of the MOSTFTs 1 and 2,
The whole surface is doped with boron ions 83 at a dose of 5 × 10 11 atoms / cm 2 by ion implantation or ion doping to set an acceptor concentration of 1 × 10 17 atoms / cc.

【0242】次いで、図28の(2)に示すように、フ
ォトレジスト82をマスクにして、イオン注入又はイオ
ンドーピング法によりMOSTFT1、2のソース/ド
レイン部及びエミッタ領域に燐イオン79を1×1015
atoms/cm2のドーズ量でドーピングし、2×1
20atoms/ccのドナー濃度に設定し、ソース領
域120、ドレイン領域121、エミッタ領域152を
それぞれ形成した後、汎用フォトリソグラフィ及びエッ
チング技術によりエミッタ領域の保護用酸化シリコン膜
を除去する。
Next, as shown in FIG. 28 (2), using the photoresist 82 as a mask, 1 × 10 5 phosphorus ions 79 are applied to the source / drain portions and the emitter regions of the MOSTFTs 1 and 2 by ion implantation or ion doping. Fifteen
doping at a dose of atoms / cm 2 , 2 × 1
After setting the donor concentration to 0 20 atoms / cc and forming the source region 120, the drain region 121, and the emitter region 152, the silicon oxide film for protecting the emitter region is removed by general-purpose photolithography and etching techniques.

【0243】次いで、図28の(3)に示すように、触
媒CVDによりエミッタ領域を形成する多結晶性シリコ
ン膜152をシードに、モノシランとメタン(CH4
及びドーパントを適量比率混合し、表面に微細凹凸16
8を有するn型多結晶性ダイヤモンド膜163をエミッ
タ領域に形成し、同時に他の酸化シリコン膜159及び
ガラス基板111上にはn型アモルファスダイヤモンド
膜170を形成する。
Next, as shown in FIG. 28C, monosilane and methane (CH 4 ) are used as seeds with the polycrystalline silicon film 152 forming the emitter region by catalytic CVD.
And an appropriate amount of the dopant, and the fine irregularities 16
An n-type polycrystalline diamond film 163 having 8 is formed in the emitter region, and at the same time, an n-type amorphous diamond film 170 is formed on the other silicon oxide film 159 and the glass substrate 111.

【0244】次いで、図28の(4)に示すように、上
述した触媒AHA処理時の活性化水素イオンにより、ア
モルファスダイヤモンド膜170をエッチング除去し、
酸化シリコン膜159のエッチング除去後に触媒CVD
等によりゲート絶縁膜(酸化シリコン膜等)118を形
成する。
Next, as shown in (4) of FIG. 28, the amorphous diamond film 170 was removed by etching with activated hydrogen ions at the time of the above-described catalytic AHA treatment.
Catalytic CVD after etching removal of silicon oxide film 159
Thus, a gate insulating film (silicon oxide film or the like) 118 is formed.

【0245】次いで、図29の(5)に示すように、ス
パッタリング法によるMo−Ta合金等の耐熱性金属に
よりMOSTFT1、2のゲート電極115、MOST
FT1のゲート電極に接続されるゲートラインを形成
し、オーバーコート膜(酸化シリコン膜等)137を形
成した後、MOSTFT2のソース部窓開け後にスパッ
タリング法によるMo−Ta合金等の耐熱性金属でMO
STFT2のソース電極127及びアースラインを形成
する。更に、プラズマCVD、触媒CVD等によりオー
バーコート膜(酸化シリコン/窒化シリコン積層膜な
ど)136を形成し、RTA等の1000℃、10〜2
0秒のイオン活性化処理を行う。
Next, as shown in FIG. 29 (5), the gate electrodes 115 of the MOSTFTs 1 and 2 and the MOST are made of a heat-resistant metal such as a Mo—Ta alloy by a sputtering method.
A gate line connected to the gate electrode of the FT1 is formed, an overcoat film (silicon oxide film or the like) 137 is formed, and after opening the window of the source portion of the MOSTFT2, MO is formed using a heat-resistant metal such as a Mo-Ta alloy by a sputtering method.
The source electrode 127 of STFT2 and the ground line are formed. Further, an overcoat film (silicon oxide / silicon nitride laminated film) 136 is formed by plasma CVD, catalytic CVD, etc.
An ion activation process for 0 seconds is performed.

【0246】次いで、図29の(6)に示すように、M
OSTFT1のソース/ドレイン部及びMOSTFT2
のゲート部の窓開けを行い、MOSTFT1のドレイン
とMOSTFT2のゲートを1%Si入りAl配線12
8で接続し、同時にMOSTFT1のソース電極とその
ソースに接続されるソースライン127を形成する。
Next, as shown in FIG. 29 (6), M
Source / drain part of OSTFT1 and MOSTFT2
Of the gate of the MOSTFT1 and the gate of the MOSTFT2 are connected to the Al wiring 12 containing 1% Si.
8 and at the same time, a source electrode of the MOSTFT 1 and a source line 127 connected to the source are formed.

【0247】次いで、図29の(7)に示すように、オ
ーバーコート膜(酸化シリコン/フォスフィンシリケー
トガラス/窒化シリコン積層膜など)130を形成した
後、GNDラインの窓開けし、図26の(8)に示すよ
うに、ゲート引き出し電極150や金属遮蔽膜151を
Nb蒸着後のエッチングで形成し、更に電界放出カソー
ド部を窓開けしてエミッタ163を露出させ、上述した
触媒AHA処理の活性化水素イオンでクリーニングす
る。
Next, as shown in FIG. 29 (7), after forming an overcoat film (silicon oxide / phosphine silicate glass / silicon nitride laminated film) 130, a window of the GND line is opened, and FIG. As shown in (8), the gate extraction electrode 150 and the metal shielding film 151 are formed by etching after Nb deposition, and the field emission cathode portion is opened to expose the emitter 163, and the activity of the above-described catalytic AHA treatment is increased. Clean with hydride ions.

【0248】なお、上記において、多結晶性ダイヤモン
ド膜163を成膜する際、使用する原料ガスとしての炭
素含有化合物は、例えば 1)メタン、エタン、プロパン、ブタン等のパラフィン
系炭化水素 2)アセチレン、アリレン系のアセチレン系炭化水素 3)エチレン、プロピレン、ブチレン等のオレフィン系
炭化水素 4)ブタジエン等のジオレフィン系炭化水素 5)シクロプロパン、シクロブタン、シクロペンタン、
シクロヘキサン等の脂環式炭化水素 6)シクロブタジエン、ベンゼン、トルエン、キシレ
ン、ナフタリン等の芳香族炭化水素 7)アセトン、ジエチルケトン、ベンゾフェノン等のケ
トン類 8)メタノール、エタノール等のアルコール類 9)トリメチルアミン、トリエチルアミン等のアミン類 10)グラファイト、石炭、コークス等の炭素原子のみ
からなる物質であってよく、これらは、1種を単独で用
いることもできるし、2種以上を併用することもでき
る。
In the above, when forming the polycrystalline diamond film 163, the carbon-containing compound used as a source gas is, for example, 1) a paraffinic hydrocarbon such as methane, ethane, propane, butane, and 2) acetylene. , Allylene-based acetylene-based hydrocarbons 3) olefin-based hydrocarbons such as ethylene, propylene, butylene 4) di-olefin-based hydrocarbons such as butadiene 5) cyclopropane, cyclobutane, cyclopentane,
Alicyclic hydrocarbons such as cyclohexane 6) Aromatic hydrocarbons such as cyclobutadiene, benzene, toluene, xylene and naphthalene 7) Ketones such as acetone, diethyl ketone and benzophenone 8) Alcohols such as methanol and ethanol 9) Trimethylamine And amines such as triethylamine. 10) It may be a substance consisting of only carbon atoms such as graphite, coal, coke, etc., and these may be used alone or in combination of two or more.

【0249】また、使用可能な不活性ガスは、例えばア
ルゴン、ヘリウム、ネオン、クリプトン、キセノン、ラ
ドンである。ドーパントとしては、例えばホウ素、リチ
ウム、窒素、リン、硫黄、塩素、ひ素、セレン、ベリリ
ウム等を含む化合物又は単体が使用可能であり、そのド
ーピング量は1020atoms/cc以上であってよ
い。
Further, usable inert gases are, for example, argon, helium, neon, krypton, xenon and radon. As the dopant, for example, a compound containing boron, lithium, nitrogen, phosphorus, sulfur, chlorine, arsenic, selenium, beryllium or the like or a simple substance can be used, and the doping amount may be 10 20 atoms / cc or more.

【0250】第5の実施の形態 本実施の形態は、本発明を光電変換装置としての太陽電
池に適用したものである。以下にその製造例を示す。
Fifth Embodiment In the present embodiment, the present invention is applied to a solar cell as a photoelectric conversion device. The production example is shown below.

【0251】まず、図30の(1)に示すように、ステ
ンレス等の金属基板111上に、本発明に基づいて上述
した方法で形成された高結晶化率、大粒径の錫又は他の
IV族元素(Ge、Pb)の単独又は混合物含有のn型多
結晶性シリコン膜7を100〜200nm厚に形成す
る。この多結晶性シリコン膜7には、リン等のn型不純
物をPH3等としてモノシランと共に供給して例えば1
×1019〜1×1020atoms/cc含有させる。
First, as shown in FIG. 30 (1), tin or other particles having a high crystallization ratio and a large grain size formed on a metal substrate 111 such as stainless steel by the above-described method according to the present invention.
An n-type polycrystalline silicon film 7 containing a group IV element (Ge, Pb) alone or as a mixture is formed to a thickness of 100 to 200 nm. An n-type impurity such as phosphorus is supplied to the polycrystalline silicon film 7 together with monosilane as PH 3 or the like, for example, for 1
× 10 19 to 1 × 10 20 atoms / cc.

【0252】次いで、図30の(2)に示すように、多
結晶性シリコン膜7上に、これをシードにして触媒CV
D等により錫又は他のIV族元素(Ge、Pb)の単独又
は混合物含有のi型多結晶性シリコン膜180、錫又は
他のIV族元素(Ge、Pb)の単独又は混合物含有のp
型多結晶性シリコン膜181等を成長させ、光電変換層
を形成する。
Next, as shown in FIG. 30B, a catalyst CV is formed on the polycrystalline silicon film 7 by using it as a seed.
I-type polycrystalline silicon film 180 containing tin or another group IV element (Ge, Pb) alone or as a mixture of p or p containing tin or another group IV element (Ge, Pb) alone or as a mixture
The type polycrystalline silicon film 181 and the like are grown to form a photoelectric conversion layer.

【0253】例えば、触媒CVDにより、モノシランに
水素化錫(SnH4)を適量比率で混合してi型の大粒
径の錫含有多結晶性シリコン膜180を2〜5μm厚に
成長させ、この上に、モノシランにp型不純物ボロン
(B26など)と水素化錫(SnH4)を適量比率混合
して、例えば1×1019〜1×1020atoms/cc
含有させたp型の大粒径の錫含有多結晶性シリコン膜1
81を100〜200nm厚に形成する。この時にそれ
ぞれの膜中に錫又は他のIV族元素(Ge、Pb)の単独
又は混合物、例えば錫を1×1015atoms/cc以
上、好ましくは1×1018〜1×1020atoms/c
c含有させることにより、結晶粒界に存在する結晶不整
及び応力を低減させるので、キャリア移動度向上を図る
ことができる。
For example, tin hydride (SnH 4 ) is mixed with monosilane at an appropriate ratio by catalytic CVD to grow an i-type tin-containing polycrystalline silicon film 180 having a large grain size to a thickness of 2 to 5 μm. Above, monosilane mixed with p-type impurity boron (such as B 2 H 6 ) and tin hydride (SnH 4 ) at an appropriate ratio, for example, 1 × 10 19 to 1 × 10 20 atoms / cc
P-type large grain size tin-containing polycrystalline silicon film 1
81 is formed to a thickness of 100 to 200 nm. At this time, tin or other group IV element (Ge, Pb) alone or in a mixture, for example, tin is contained in each film at a concentration of 1 × 10 15 atoms / cc or more, preferably 1 × 10 18 to 1 × 10 20 atoms / c.
By containing c, crystal irregularities and stress existing at the crystal grain boundaries are reduced, so that the carrier mobility can be improved.

【0254】また、このときに、上述したマルチ触媒A
HA処理を行ってよい。例えば、触媒CVDでp型の錫
含有多結晶性シリコン膜を20〜30nm厚に成長させ
た後、触媒AHA処理を行い、触媒CVDでp型の錫含
有多結晶性シリコン膜を20〜30nm厚に成長させ、
触媒AHA処理後、更に触媒CVDでp型の錫含有多結
晶性シリコン膜を20〜30nmに成長させた後、触媒
AHA処理を行うように、各処理を必要回数繰り返す方
法で成膜してもよい。この方法によって、より大きい粒
径の錫含有多結晶性シリコン膜を形成できる。また、成
膜途中で原料ガス供給量を増加して、高速成膜としても
よい。
At this time, the multi-catalyst A
HA treatment may be performed. For example, after a p-type tin-containing polycrystalline silicon film is grown to a thickness of 20 to 30 nm by catalytic CVD, a catalytic AHA treatment is performed, and the p-type tin-containing polycrystalline silicon film is formed to a thickness of 20 to 30 nm by catalytic CVD. To grow
After the catalyst AHA treatment, a p-type tin-containing polycrystalline silicon film is further grown to a thickness of 20 to 30 nm by catalytic CVD, and then each treatment is repeated a required number of times so that the catalyst AHA treatment is performed. Good. By this method, a tin-containing polycrystalline silicon film having a larger grain size can be formed. In addition, a high-speed film formation may be performed by increasing the supply amount of the source gas during the film formation.

【0255】次いで、図30の(3)に示すように、上
記の方法で形成したn−i−p接合の大粒径の錫含有多
結晶性シリコン膜の全面に、透明電極182を形成す
る。例えば、汎用スパッタリング技術により、無反射コ
ート用のITO(Indium Tin Oxide)又はIZO(Indi
um Zinc Oxide)等の透明電極182を100〜150
nm厚に形成する。そして、この上に、汎用スパッタリ
ング技術により、メタルマスクを用いて、所定領域に銀
等のくし型電極183を100〜150nm厚に形成す
る。
Next, as shown in FIG. 30C, a transparent electrode 182 is formed on the entire surface of the tin-containing polycrystalline silicon film having a large grain size of the nip junction formed by the above method. . For example, by a general-purpose sputtering technique, ITO (Indium Tin Oxide) or IZO (Indi
um Zinc Oxide) etc.
It is formed to a thickness of nm. Then, a comb-shaped electrode 183 made of silver or the like is formed in a predetermined area on the above-mentioned layer by a general-purpose sputtering technique using a metal mask in a thickness of 100 to 150 nm.

【0256】なお、上記の膜は錫又は他のIV族元素を含
有していなくてもよいが、この場合も上記と同様に製造
することができる。
The above film may not contain tin or another group IV element, but in this case, it can be manufactured in the same manner as described above.

【0257】本実施の形態による太陽電池は、本発明に
基づく大粒径の多結晶性シリコン膜によって、高キャリ
ア移動度で変換効率の大きい光電変換薄膜を形成でき、
良好な表面テクスチャ構造と裏面テクスチャ構造が形成
されるので、光封じ込め効果が高く、変換効率の大きい
光電変換薄膜を形成できる。これはまた、太陽電池に限
らず、電子写真用の感光体ドラム等の薄膜光電変換装置
にも有利に利用することができる。
In the solar cell according to the present embodiment, a large-grain polycrystalline silicon film according to the present invention can form a photoelectric conversion thin film having high carrier mobility and high conversion efficiency.
Since a good surface texture structure and a good back surface texture structure are formed, a photoelectric conversion thin film having a high light confinement effect and a high conversion efficiency can be formed. This can be advantageously used not only for a solar cell but also for a thin-film photoelectric conversion device such as a photosensitive drum for electrophotography.

【0258】以上に述べた本発明の実施の形態は、本発
明の技術的思想に基づいて種々変形が可能である。
The embodiments of the present invention described above can be variously modified based on the technical idea of the present invention.

【0259】例えば、上述した触媒CVD法と触媒AH
A処理の繰り返し回数や各条件は種々変更してよく、用
いる基板等の材質も上述したものに限定されることはな
い。
For example, the above-described catalyst CVD method and the catalyst AH
The number of repetitions of the process A and each condition may be variously changed, and the material of the substrate and the like to be used is not limited to the above.

【0260】また、本発明は、表示部等の内部回路や周
辺駆動回路及び映像信号処理回路及びメモリー等のMO
STFTに好適なものであるが、それ以外にもダイオー
ドなどの素子の能動領域や、抵抗、キャパシタンス(容
量)、配線、インダクタンス等の受動領域を本発明によ
る多結晶性シリコン膜で形成することも可能である。
Also, the present invention relates to an internal circuit such as a display unit, a peripheral driving circuit, a video signal processing circuit, and a MO such as a memory.
It is suitable for an STFT, but in addition, an active region of an element such as a diode and a passive region such as a resistor, a capacitance (capacitance), a wiring, and an inductance may be formed of a polycrystalline silicon film according to the present invention. It is possible.

【0261】[0261]

【発明の作用効果】本発明は上述したように、水素又は
水素含有ガスと原料ガスを加熱された触媒体に接触さ
せ、これによって生成した反応種を基体上に堆積させ
て、低級結晶性半導体薄膜を気相成長させる工程と、水
素又は水素含有ガスを加熱された触媒体に接触させ、こ
れによって生成した水素系活性種を前記低級結晶性半導
体薄膜に作用させて、前記低級結晶性半導体薄膜の結晶
化を促進するアニールする工程とを繰り返しているの
で、次の(1)〜(4)に示すような顕著な作用効果が
得られる。
According to the present invention, as described above, hydrogen or a hydrogen-containing gas and a raw material gas are brought into contact with a heated catalyst, and the reaction species generated by the contact are deposited on a substrate. A step of vapor-phase growing the thin film, and bringing hydrogen or a hydrogen-containing gas into contact with the heated catalyst to cause the hydrogen-based active species generated thereby to act on the lower crystalline semiconductor thin film, thereby producing the lower crystalline semiconductor thin film And the annealing step of accelerating the crystallization are repeated, so that the following remarkable functions and effects can be obtained as shown in the following (1) to (4).

【0262】(1)加熱された触媒体に水素又は水素含
有ガスと原料ガスを接触させて生成した反応種(堆積種
又はその前駆体及びラジカルイオン)を基体に吹き付け
て低級結晶性半導体薄膜を形成し、この低級結晶性半導
体薄膜に対して、加熱された触媒体による熱分解反応及
び接触分解反応により多量に発生した高温の水素系分
子、水素系原子、活性化水素イオン等の水素系活性種を
吹き付け等で作用させる触媒AHA処理を行っているの
で、高温の熱触媒体の輻射熱による加熱も加わって、次
の顕著な効果を示す。
(1) Hydrogen or a hydrogen-containing gas and a raw material gas are brought into contact with a heated catalyst to spray reactive species (deposited species or their precursors and radical ions) generated on a substrate to form a lower crystalline semiconductor thin film. The low-crystalline semiconductor thin film is formed, and a high-temperature hydrogen-based molecule, hydrogen-based atom, activated hydrogen ion, or other hydrogen-based activity generated in large quantities by a thermal decomposition reaction and a catalytic decomposition reaction by a heated catalyst. Since the catalyst AHA treatment in which the seeds act by spraying or the like is performed, the following remarkable effects are exhibited due to the addition of the radiant heat of the high-temperature thermal catalyst body.

【0263】1.上記の水素系活性種のラジカル作用に
より、熱エネルギーが膜に移動して局部的に温度上昇さ
せ、低級結晶性半導体薄膜は、アモルファス成分がエッ
チングされて結晶化が促進され、大粒径の高結晶化率の
多結晶性膜が形成され、かつその結晶粒界に存在する結
晶不整及び内部応力を低減させ、高キャリア移動度、高
品質の多結晶性半導体薄膜を得ることができる。しか
も、例えば多結晶性シリコン上又は膜内にシリコン酸化
物が存在したときに、これと還元反応してSiO等を生
成して蒸発させるので、その膜上又は膜内のシリコン酸
化物を減少/除去させることができ、高キャリア移動
度、高品質の多結晶性シリコン膜等を得ることができ
る。
[0263] 1. Due to the radical action of the hydrogen-based active species, thermal energy moves to the film and locally raises the temperature. In the lower crystalline semiconductor thin film, the crystallization is promoted by etching the amorphous component, and the high-grain high-grain semiconductor film has a large grain size. A polycrystalline film having a crystallization rate is formed, and crystal irregularities and internal stress existing at the crystal grain boundaries are reduced, so that a high carrier mobility and high quality polycrystalline semiconductor thin film can be obtained. In addition, for example, when silicon oxide exists on or in polycrystalline silicon, a reduction reaction occurs with the silicon oxide to generate SiO and the like, thereby evaporating the silicon oxide. Thus, a high-carrier mobility, high-quality polycrystalline silicon film or the like can be obtained.

【0264】そして微結晶シリコン含有アモルファスシ
リコン膜、アモルファスシリコン含有微結晶シリコン膜
などは、その微結晶シリコンをシードとして再結晶化が
促進され、大粒径の多結晶性シリコン膜化する。しか
も、その膜に含有されるアモルファス構造のシリコンが
活性化水素イオン等で還元(エッチング)されるので、
高結晶化率の多結晶性シリコン膜等が形成される。
The microcrystalline silicon-containing amorphous silicon film, the amorphous silicon-containing microcrystalline silicon film, and the like are recrystallized by using the microcrystalline silicon as a seed to form a polycrystalline silicon film having a large grain size. In addition, since the amorphous silicon contained in the film is reduced (etched) by activated hydrogen ions or the like,
A polycrystalline silicon film or the like having a high crystallization rate is formed.

【0265】2.この触媒AHA処理時に、低級結晶性
半導体薄膜中に存在するキャリア不純物は高温で活性化
され、各領域において最適なキャリア不純物濃度を得
る。
[0265] 2. During this catalytic AHA treatment, the carrier impurities present in the lower crystalline semiconductor thin film are activated at a high temperature, and an optimum carrier impurity concentration is obtained in each region.

【0266】3.また、活性化水素イオン等によるクリ
ーニング(基板等への吸着ガス及び有機物残渣等の還元
除去)が可能であり、触媒体も酸化劣化し難くなる(な
お、このような効果は、上記の触媒CVD時も水素系キ
ャリアガスを用いることから、同様に生じる)。
[0266] 3. In addition, cleaning by activated hydrogen ions or the like (reduction and removal of the adsorbed gas and organic residue on the substrate or the like) is possible, and the catalyst is hardly oxidized and deteriorated. This also occurs when a hydrogen-based carrier gas is used.)

【0267】4.活性化水素イオン等の水素化作用によ
り、多結晶性シリコン膜中の例えばシリコンダングリン
グボンドをなくし、特性が向上する。
[0267] 4. By hydrogenation of activated hydrogen ions or the like, for example, silicon dangling bonds in the polycrystalline silicon film are eliminated, and characteristics are improved.

【0268】(2)こうして触媒AHA処理された多結
晶性半導体薄膜上に更に低級結晶性半導体薄膜を気相成
長させる工程を目的とする膜厚となるまで繰り返すの
で、この半導体薄膜は既に触媒AHA処理で多結晶化さ
れた下地膜上に多結晶化され易い状態で成長し易くな
り、目的とする高結晶化率、高品質の多結晶性半導体膜
を所定の膜厚で得ることができる。即ち、触媒CVDと
触媒AHA処理を繰り返すマルチ触媒AHA処理によ
り、例えば触媒CVDで成膜された微結晶シリコン膜、
アモルファスシリコン及び微結晶シリコン含有多結晶シ
リコンを触媒AHA処理で多結晶性シリコン膜化し、更
にこの多結晶性シリコンをシードとした触媒CVDで多
結晶性シリコン膜の気相成長、更には触媒AHA処理を
繰り返すので、高結晶化率、大粒径の多結晶性シリコン
膜を形成することができる。
(2) The step of vapor-phase growing a lower crystalline semiconductor thin film on the polycrystalline semiconductor thin film thus treated with the catalyst AHA is repeated until the target film thickness is reached. It is easy to grow on the base film polycrystallized by the process in a state easily polycrystallized, and it is possible to obtain a target high crystallinity and high quality polycrystalline semiconductor film with a predetermined film thickness. That is, for example, a microcrystalline silicon film formed by catalytic CVD by multi-catalyst AHA processing in which catalytic CVD and catalytic AHA processing are repeated,
Amorphous silicon and microcrystalline silicon-containing polycrystalline silicon are converted into a polycrystalline silicon film by catalytic AHA treatment, and furthermore, vapor phase growth of polycrystalline silicon film by catalytic CVD using the polycrystalline silicon as a seed, and further catalytic AHA treatment Is repeated, a polycrystalline silicon film having a high crystallization rate and a large grain size can be formed.

【0269】(3)この触媒CVD及び触媒AHA処理
はいずれも、プラズマの発生なしに行えるので、プラズ
マによるダメージがなく、低ストレスの生成膜が得ら
れ、またプラズマCVD法に比べ、シンプルで安価な装
置を実現できる。
(3) Since both the catalytic CVD and the catalytic AHA treatment can be performed without generating plasma, a plasma-damage-free, low-stress formed film can be obtained, and the method is simpler and less expensive than the plasma CVD method. Device can be realized.

【0270】(4)基体温度を低温化してもこの触媒C
VD及び触媒AHA処理により目的とする良質の多結晶
性半導体膜が得られることから、基体温度を低温化で
き、従って大型で安価な絶縁基板(ガラス基板、耐熱性
樹脂基板等)を使用でき、この点でもコストダウンが可
能となる。
(4) Even when the substrate temperature is lowered, the catalyst C
Since the desired high-quality polycrystalline semiconductor film can be obtained by the VD and catalytic AHA treatment, the substrate temperature can be lowered, so that a large and inexpensive insulating substrate (glass substrate, heat-resistant resin substrate, etc.) can be used, In this respect, the cost can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施の形態によるMOSTFT
の製造プロセスを工程順に示す断面図である。
FIG. 1 shows a MOSTFT according to a first embodiment of the present invention.
3 is a cross-sectional view showing the manufacturing process in order of steps.

【図2】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 2 is a cross-sectional view showing a manufacturing process in the order of steps.

【図3】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 3 is a sectional view showing the manufacturing process in the order of steps.

【図4】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 4 is a sectional view showing the manufacturing process in the order of steps.

【図5】同、製造に用いる触媒CVD及び触媒AHA処
理用の装置の一状態での概略断面図である。
FIG. 5 is a schematic cross-sectional view of one state of an apparatus for catalytic CVD and catalytic AHA treatment used in the production.

【図6】同、装置の他の状態での概略断面図である。FIG. 6 is a schematic sectional view of the same device in another state.

【図7】同、装置を用いた処理時のガス流量のタイミン
グチャートである。
FIG. 7 is a timing chart of a gas flow rate during processing using the same apparatus.

【図8】同、装置のガス供給系の概略図である。FIG. 8 is a schematic view of a gas supply system of the apparatus.

【図9】同、処理により得られた半導体膜のラマンスペ
クトルを比較して示すグラフである。
FIG. 9 is a graph showing a comparison of Raman spectra of semiconductor films obtained by the same treatment.

【図10】同、半導体薄膜の結晶化率を比較して示すグ
ラフである。
FIG. 10 is a graph showing the crystallization ratios of the semiconductor thin films in comparison.

【図11】同、触媒体及びこの支持体の純度による膜中
の重金属濃度を比較して示すグラフである。
FIG. 11 is a graph showing the comparison of the heavy metal concentration in the membrane depending on the purity of the catalyst and the support.

【図12】本発明の第2の実施の形態によるLCDの製
造プロセスを工程順に示す断面図である。
FIG. 12 is a cross-sectional view showing a manufacturing process of the LCD according to the second embodiment of the present invention in the order of steps.

【図13】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 13 is a cross-sectional view showing the manufacturing process in the order of steps.

【図14】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 14 is a cross-sectional view showing the manufacturing process in the order of steps.

【図15】同、LCDの全体の概略レイアウトを示す斜
視図である。
FIG. 15 is a perspective view showing a schematic layout of the whole LCD.

【図16】同、LCDの等価回路図である。FIG. 16 is an equivalent circuit diagram of the LCD.

【図17】同、LCDの他の製造プロセスを工程順に示
す断面図である。
FIG. 17 is a cross-sectional view showing another manufacturing process of the LCD in the order of steps.

【図18】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 18 is a cross-sectional view showing the manufacturing process in the order of steps.

【図19】同、LCDのMOSTFTを各種示す断面図
である。
FIG. 19 is a cross-sectional view showing various types of MOSTFTs of the LCD.

【図20】本発明の第3の実施の形態による有機EL表
示装置の要部の等価回路図(A)、同要部の拡大断面図
(B)及び同画素周辺部の断面図(C)である。
FIG. 20 is an equivalent circuit diagram of a main part of an organic EL display device according to a third embodiment of the present invention (A), an enlarged cross-sectional view of the main part (B), and a cross-sectional view of a peripheral part of the pixel (C). It is.

【図21】同、有機EL表示装置の製造プロセスを工程
順に示す断面図である。
FIG. 21 is a cross-sectional view showing a manufacturing process of the organic EL display device in the order of steps.

【図22】同、他の有機EL表示装置の要部の等価回路
図(A)、同要部の拡大断面図(B)及び同画素周辺部
の断面図(C)である。
22A and 22B are an equivalent circuit diagram (A) of an essential part of another organic EL display device, an enlarged sectional view (B) of the essential part, and a sectional view (C) of a peripheral portion of the pixel.

【図23】同、有機EL表示装置の製造プロセスを工程
順に示す断面図である。
FIG. 23 is a cross-sectional view showing a manufacturing process of the organic EL display device in the order of steps.

【図24】本発明の第4の実施の形態によるFEDの要
部の等価回路図(A)、同要部の拡大断面図(B)及び
同要部の概略平面図(C)である。
FIG. 24 is an equivalent circuit diagram (A) of an essential part of an FED according to a fourth embodiment of the present invention, an enlarged sectional view (B) of the essential part, and a schematic plan view (C) of the essential part.

【図25】同、FEDの製造プロセスを工程順に示す断
面図である。
FIG. 25 is a cross-sectional view showing a manufacturing process of the FED in the order of steps.

【図26】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 26 is a cross-sectional view showing the manufacturing process in the order of steps.

【図27】同、他のFEDの要部の等価回路図(A)、
同要部の拡大断面図(B)及び同要部の平面図(C)で
ある。
FIG. 27 is an equivalent circuit diagram (A) of a main part of another FED,
It is the expanded sectional view (B) of the principal part, and the top view (C) of the principal part.

【図28】同、FEDの製造プロセスを工程順に示す断
面図である。
FIG. 28 is a cross-sectional view showing a manufacturing process of the FED in the order of steps.

【図29】同、製造プロセスを工程順に示す断面図であ
る。
FIG. 29 is a cross-sectional view showing the manufacturing process in the order of steps.

【図30】本発明の第5の実施の形態による太陽電池の
製造プロセスを工程順に示す断面図である。
FIG. 30 is a sectional view illustrating the manufacturing process of the solar cell according to the fifth embodiment of the present invention in the order of steps.

【符号の説明】[Explanation of symbols]

1、61、98、111、157…基板、7、67…多
結晶性シリコン膜、14、67、117…チャンネル、
15、75、102、105、115…ゲート電極、
8、68、103、104、106、118…ゲート絶
縁膜、20、21、80、81、120、121…n+
型ソース又はドレイン領域、24、25、84、85…
+型ソース又はドレイン領域、27、28、86、9
2、130、136、137…絶縁膜、29、30、8
7、88、89、90、91、93、97、127、1
28、131…電極、40…原料ガス、42…シャワー
ヘッド、44…成膜室、45…サセプタ、46…触媒
体、47…シャッター、48…触媒体電源、94、96
…配向膜、95…液晶、99…カラーフィルタ層、10
0…保護膜、100’、140…ブラックマスク層、1
32、133…有機発光層、134、135、144…
陽極、138、141、142、171…陰極、150
…ゲート引き出し電極(ゲートライン)、151…遮蔽
膜、152…エミッタ、153…n型多結晶性シリコン
膜、155…バックメタル、156…蛍光体、158、
168…微細凹凸、163…n型多結晶性ダイヤモンド
膜、180…i型多結晶性シリコン膜、181…p型多
結晶性シリコン膜、182…透明電極、183…くし型
電極
1, 61, 98, 111, 157 ... substrate, 7, 67 ... polycrystalline silicon film, 14, 67, 117 ... channel,
15, 75, 102, 105, 115 ... gate electrode,
8, 68, 103, 104, 106, 118 ... gate insulating film, 20, 21, 80, 81, 120, 121 ... n +
Mold source or drain regions, 24, 25, 84, 85 ...
p + type source or drain region, 27, 28, 86, 9
2, 130, 136, 137 ... insulating film, 29, 30, 8
7, 88, 89, 90, 91, 93, 97, 127, 1
28, 131: electrode, 40: raw material gas, 42: shower head, 44: film forming chamber, 45: susceptor, 46: catalyst, 47: shutter, 48: catalyst power, 94, 96
... Alignment film, 95 ... Liquid crystal, 99 ... Color filter layer, 10
0: protective film, 100 ', 140: black mask layer, 1
32, 133 ... organic light emitting layer, 134, 135, 144 ...
Anode, 138, 141, 142, 171 ... cathode, 150
.., Gate extraction electrode (gate line), 151, shielding film, 152, emitter, 153, n-type polycrystalline silicon film, 155, back metal, 156, phosphor, 158,
168: fine irregularities; 163, n-type polycrystalline diamond film; 180, i-type polycrystalline silicon film; 181, p-type polycrystalline silicon film; 182, transparent electrode; 183, comb-type electrode

フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/205 H01L 21/205 5F052 29/786 H05B 33/10 5F110 21/336 33/14 A 31/04 Z H05B 33/10 H01L 29/78 627G 33/14 31/04 X Fターム(参考) 2H092 GA60 HA04 JA25 JA26 JA46 KA04 KA05 KB22 MA08 MA18 MA27 NA26 NA27 NA29 PA02 PA08 PA09 QA07 3K007 AB00 AB04 AB17 AB18 BB06 CA01 CB01 DA00 DB02 DB03 EB00 FA01 FA03 5C094 AA06 AA08 AA43 AA44 BA03 BA21 BA23 BA27 BA43 CA19 EA04 EA05 EB02 GB10 5F045 AA03 AA18 AB01 AB03 AB04 AB05 AB07 AB33 AC01 AC12 AC16 AC17 AC18 AC19 AD07 AD08 AE05 AE07 BB08 CA05 CA09 CA13 DP05 EF05 HA16 5F051 AA03 CB12 DA03 FA02 FA04 GA02 5F052 AA11 AA18 DA01 DA02 DA03 DB01 DB02 FA19 HA01 JA01 5F110 AA17 AA30 BB01 BB02 BB04 BB05 CC02 CC08 DD02 DD03 DD13 DD14 DD17 EE06 EE09 EE28 EE32 EE44 EE45 FF02 FF03 FF09 FF29 FF30 GG01 GG02 GG13 GG25 GG32 GG33 GG34 GG41 GG44 HJ01 HJ04 HJ12 HJ13 HJ23 HL03 HL05 HL06 HL23 HM15 NN03 NN23 NN24 NN25 NN35 NN46 NN54 NN72 PP34 Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat II (Reference) H01L 21/205 H01L 21/205 5F052 29/786 H05B 33/10 5F110 21/336 33/14 A 31/04 Z H05B 33 / 10 H01L 29/78 627G 33/14 31/04 XF term (reference) 2H092 GA60 HA04 JA25 JA26 JA46 KA04 KA05 KB22 MA08 MA18 MA27 NA26 NA27 NA29 PA02 PA08 PA09 QA07 3K007 AB00 AB04 AB17 AB18 BB06 CA01 CB01 DA00 DB02 DB03 EB00 FA01 FA03 5C094 AA06 AA08 AA43 AA44 BA03 BA21 BA23 BA27 BA43 CA19 EA04 EA05 EB02 GB10 5F045 AA03 AA18 AB01 AB03 AB04 AB05 AB07 AB33 AC01 AC12 AC16 AC17 AC18 AC19 AD07 AD08 AE05 AE07 BB08 CA05 CA05 FA03 FA05 CA05 FA03 5F052 AA11 AA18 DA01 DA02 DA03 DB01 DB02 FA19 HA01 JA01 5F110 AA17 AA30 BB01 BB02 BB04 BB05 CC02 CC08 DD02 DD03 DD13 DD14 DD17 EE06 EE09 EE28 EE32 EE44 EE45 FF02 FF03 FF09 GG29 H13 GG01 HGG HL03 HL05 HL06 HL23 HM15 NN 03 NN23 NN24 NN25 NN35 NN46 NN54 NN72 PP34

Claims (42)

【特許請求の範囲】[Claims] 【請求項1】 基体上に多結晶性半導体薄膜を形成する
に際し、 原料ガスを加熱された触媒体に接触させ、これによって
生成した反応種を基体上に堆積させて、低級結晶性半導
体薄膜を形成する気相成長工程と、 水素又は水素含有ガスを加熱された触媒体に接触させ、
これによって生成した活性種を前記低級結晶性半導体薄
膜に作用させて、前記低級結晶性半導体薄膜の結晶化を
促進するアニール工程とを繰り返すことによって前記多
結晶性半導体薄膜を得る、多結晶性半導体薄膜の形成方
法。
In forming a polycrystalline semiconductor thin film on a substrate, a raw material gas is brought into contact with a heated catalyst body, and reactive species generated thereby are deposited on the substrate to form a lower crystalline semiconductor thin film. Forming a vapor phase growth step, contacting hydrogen or a hydrogen-containing gas with the heated catalyst,
The active species thus generated is allowed to act on the lower crystalline semiconductor thin film, and the annealing step of promoting crystallization of the lower crystalline semiconductor thin film is repeated to obtain the polycrystalline semiconductor thin film. A method for forming a thin film.
【請求項2】 基体上に多結晶性半導体薄膜を有する半
導体装置を製造するに際し、 原料ガスを加熱された触媒体に接触させ、これによって
生成した反応種を基体上に堆積させて、低級結晶性半導
体薄膜を形成する気相成長工程と、 水素又は水素含有ガスを加熱された触媒体に接触させ、
これによって生成した活性種を前記低級結晶性半導体薄
膜に作用させて、前記低級結晶性半導体薄膜の結晶化を
促進するアニール工程とを繰り返すことによって前記多
結晶性半導体薄膜を得る、半導体装置の製造方法。
2. A method for manufacturing a semiconductor device having a polycrystalline semiconductor thin film on a substrate, wherein a raw material gas is brought into contact with a heated catalyst, and a reaction species generated thereby is deposited on the substrate. A vapor phase growth step of forming a conductive semiconductor thin film, and contacting hydrogen or a hydrogen-containing gas with the heated catalyst.
Producing the polycrystalline semiconductor thin film by repeating an annealing step of causing the active species generated thereby to act on the lower crystalline semiconductor thin film and promoting crystallization of the lower crystalline semiconductor thin film, thereby obtaining the polycrystalline semiconductor thin film. Method.
【請求項3】 加熱された前記触媒体に、前記原料ガス
及び水素系キャリアガスの少なくとも一部を接触させて
触媒的に分解させ、これによって生成したラジカル、イ
オン等の反応種を加熱された前記基体上に堆積させて前
記低級結晶性半導体薄膜を気相成長させた後、前記原料
ガスの供給を停止し、前記加熱触媒体に前記水素系キャ
リアガスの少なくとも一部を接触させ、これによって生
成した高温の水素系分子、水素系原子、活性化水素イオ
ン等の水素系活性種を前記低級結晶性半導体薄膜に作用
させて前記アニールを行う、請求項1又は2に記載した
方法。
3. The heated catalyst body is brought into contact with at least a part of the raw material gas and the hydrogen-based carrier gas to be catalytically decomposed, and the generated reactive species such as radicals and ions are heated. After depositing on the substrate and vapor-growing the lower crystalline semiconductor thin film, the supply of the source gas is stopped, and at least a part of the hydrogen-based carrier gas is brought into contact with the heating catalyst, whereby 3. The method according to claim 1, wherein the annealing is performed by causing the generated high-temperature hydrogen-based molecules, hydrogen-based atoms, and activated hydrogen ions to act on the lower crystalline semiconductor thin film.
【請求項4】 前記気相成長時の水素又は水素含有ガス
供給量よりも前記アニール時の水素又は水素含有ガス供
給量を多くする、請求項3に記載した方法。
4. The method according to claim 3, wherein a supply amount of hydrogen or a hydrogen-containing gas during the annealing is set to be larger than a supply amount of hydrogen or a hydrogen-containing gas during the vapor phase growth.
【請求項5】 タングステン、トリア含有タングステ
ン、モリブデン、白金、パラジウム、バナジウム、シリ
コン、アルミナ、金属を付着したセラミックス、及び炭
化ケイ素からなる群より選ばれた少なくとも1種の材料
によって、前記触媒体を形成する、請求項1又は2に記
載した方法。
5. The catalyst body is made of at least one material selected from the group consisting of tungsten, tungsten containing thoria, molybdenum, platinum, palladium, vanadium, silicon, alumina, a ceramic to which a metal is attached, and silicon carbide. A method according to claim 1 or 2, wherein the method comprises:
【請求項6】 前記触媒体及びこれを支持する支持体の
純度を99.99wt%以上、好ましくは99.999
wt%又はそれ以上とする、請求項1又は2に記載した
方法。
6. The purity of the catalyst and the support supporting the catalyst is 99.99 wt% or more, preferably 99.999.
The method according to claim 1 or 2, wherein the amount is wt% or more.
【請求項7】 前記低級結晶性半導体薄膜が微結晶シリ
コン含有アモルファスシリコン膜、微結晶シリコン(ア
モルファスシリコン含有微結晶シリコン)膜、アモルフ
ァスシリコン及び微結晶シリコン含有多結晶シリコン
膜、微結晶ゲルマニウム含有アモルファスゲルマニウム
膜、微結晶ゲルマニウム(アモルファスゲルマニウム含
有微結晶ゲルマニウム)膜、アモルファスゲルマニウム
及び微結晶ゲルマニウム含有多結晶ゲルマニウム膜、又
はSixGe1-x(0<x<1)で示されるアモルファス
シリコンゲルマニウム膜からなり、かつ、前記水素又は
水素含有ガスが水素又は水素と不活性なガスとの混合ガ
スからなる、請求項1又は2に記載した方法。
7. The low-crystalline semiconductor thin film includes a microcrystalline silicon-containing amorphous silicon film, a microcrystalline silicon (amorphous silicon-containing microcrystalline silicon) film, an amorphous silicon and a microcrystalline silicon-containing polycrystalline silicon film, and a microcrystalline germanium-containing amorphous. germanium film, a microcrystalline germanium (amorphous germanium containing microcrystalline germanium) film, an amorphous germanium and microcrystalline germanium containing polycrystalline germanium film, or Si x Ge 1-x amorphous silicon germanium film represented by (0 <x <1) 3. The method according to claim 1, wherein the hydrogen or the hydrogen-containing gas comprises hydrogen or a mixed gas of hydrogen and an inert gas. 4.
【請求項8】 前記低級結晶性半導体薄膜に錫等のIV族
元素の少なくとも1種を適量含有させ、この状態で前記
アニール工程を行う、請求項7に記載した方法。
8. The method according to claim 7, wherein the lower crystalline semiconductor thin film contains at least one kind of Group IV element such as tin in an appropriate amount, and the annealing step is performed in this state.
【請求項9】 前記多結晶性半導体薄膜によって、薄膜
絶縁ゲート型電界効果トランジスタのチャンネル、ソー
ス及びドレイン領域、又は配線、抵抗、容量又は電子放
出体等を形成する、請求項1又は2に記載した方法。
9. The polycrystalline semiconductor thin film according to claim 1, wherein a channel, a source and a drain region, a wiring, a resistor, a capacitor, an electron emitter, and the like of a thin film insulated gate field effect transistor are formed. Way.
【請求項10】 前記チャンネル、ソース及びドレイン
領域の形成後に、これらの領域に対し、水素又は水素含
有ガスを加熱された触媒体に接触させることによって生
成した活性種を作用させる、請求項9に記載した方法。
10. The method according to claim 9, wherein after forming the channel, source and drain regions, active regions generated by contacting hydrogen or a hydrogen-containing gas with a heated catalyst body are applied to these regions. The method described.
【請求項11】 前記多結晶性半導体薄膜内において内
部から外部に向って結晶粒径を小さくして高密度化する
か、或いはアモルファス半導体膜又は微粒径層とアモル
ファス半導体膜で前記多結晶性半導体薄膜を被覆する、
請求項1又は2に記載した方法。
11. The polycrystalline semiconductor thin film, wherein the crystal grain size is reduced from the inside to the outside to increase the density, or the polycrystalline semiconductor thin film or the fine grain layer and the amorphous semiconductor film are used to form the polycrystalline semiconductor thin film. Coating a semiconductor thin film,
The method according to claim 1.
【請求項12】 前記微粒径層又は前記アモルファス半
導体膜を除去し、大粒径層(前記多結晶性半導体薄膜)
とコンタクトしたソース、ドレイン電極を形成する、請
求項11に記載した方法。
12. A large grain size layer (the polycrystalline semiconductor thin film) by removing the fine grain size layer or the amorphous semiconductor film.
The method according to claim 11, wherein a source electrode and a drain electrode are formed in contact with the substrate.
【請求項13】 シリコン半導体装置、シリコン半導体
集積回路装置、シリコン−ゲルマニウム半導体装置、シ
リコン−ゲルマニウム半導体集積回路装置、化合物半導
体装置、化合物半導体集積回路装置、炭化ケイ素半導体
装置、炭化ケイ素半導体集積回路装置、液晶表示装置、
有機又は無機エレクトロルミネセンス表示装置、フィー
ルドエミッションディスプレイ(FED)装置、発光ポ
リマー表示装置、発光ダイオード表示装置、CCDエリ
ア/リニアセンサ装置、MOSセンサ装置、太陽電池装
置用の薄膜を製造する、請求項1又は2に記載した方
法。
13. A silicon semiconductor device, a silicon semiconductor integrated circuit device, a silicon-germanium semiconductor device, a silicon-germanium semiconductor integrated circuit device, a compound semiconductor device, a compound semiconductor integrated circuit device, a silicon carbide semiconductor device, and a silicon carbide semiconductor integrated circuit device. , Liquid crystal display,
Manufacturing thin films for organic or inorganic electroluminescent displays, field emission displays (FED) devices, light emitting polymer displays, light emitting diode displays, CCD area / linear sensor devices, MOS sensor devices, solar cell devices. 3. The method according to 1 or 2.
【請求項14】 内部回路及び周辺回路を有する半導体
装置、固体撮像装置、電気光学装置等の製造に際し、こ
れらの少なくとも一部を構成する薄膜絶縁ゲート型電界
効果トランジスタのチャンネル、ソース及びドレイン領
域を前記多結晶性半導体薄膜によって形成する、請求項
13に記載した方法。
14. A semiconductor device having an internal circuit and a peripheral circuit, a solid-state imaging device, an electro-optical device, and the like, in which a channel, a source and a drain region of a thin-film insulated gate field-effect transistor constituting at least a part thereof are formed. 14. The method according to claim 13, wherein the method is formed by the polycrystalline semiconductor thin film.
【請求項15】 各色用の有機又は無機エレクトロルミ
ネセンス層の下層にそれぞれ、前記薄膜絶縁ゲート型電
界効果トランジスタのドレイン又はソースと接続された
陰極又は陽極を有する、請求項14に記載した方法。
15. The method according to claim 14, further comprising a cathode or an anode connected to a drain or a source of the thin film insulated gate field effect transistor, respectively, below the organic or inorganic electroluminescent layer for each color.
【請求項16】 前記薄膜絶縁ゲート型電界効果トラン
ジスタを含む能動素子上も前記陰極が覆い、或いは前記
各色用の有機又は無機エレクトロルミネセンス層の各層
上及び各層間の全面に前記陰極又は陽極が被着されてい
る装置を製造する、請求項15に記載した方法。
16. The cathode covers the active element including the thin-film insulated gate field-effect transistor, or the cathode or the anode is provided on each layer of the organic or inorganic electroluminescent layer for each color and on the entire surface between each layer. The method according to claim 15, wherein the device is applied.
【請求項17】 前記各色用の前記有機又は無機エレク
トロルミネセンス層間にブラックマスク層を形成する、
請求項15に記載した方法。
17. A black mask layer is formed between the organic or inorganic electroluminescent layers for each color.
The method according to claim 15.
【請求項18】 フィールドエミッションディスプレイ
装置のエミッタを、前記多結晶性半導体薄膜を介して前
記薄膜絶縁ゲート型電界効果トランジスタのドレインに
接続すると共に前記多結晶性半導体薄膜上に成長された
n型多結晶性半導体膜又は多結晶性ダイヤモンド膜によ
って形成する、請求項14に記載した方法。
18. An n-type poly-electrode grown on the polycrystalline semiconductor thin film while connecting an emitter of the field emission display device to a drain of the thin-film insulated gate field effect transistor via the polycrystalline semiconductor thin film. The method according to claim 14, wherein the method is formed using a crystalline semiconductor film or a polycrystalline diamond film.
【請求項19】 前記薄膜絶縁ゲート型電界効果トラン
ジスタを含む能動素子上にアース電位の遮蔽膜を形成す
る、請求項18に記載した方法。
19. The method according to claim 18, wherein a ground potential shielding film is formed on the active device including the thin film insulated gate field effect transistor.
【請求項20】 前記遮蔽膜を前記フィールドエミッシ
ョンディスプレイ装置のゲート引き出し電極と同一材料
で同一工程により形成する、請求項19に記載した方
法。
20. The method according to claim 19, wherein the shielding film is formed of the same material and in the same step as the gate extraction electrode of the field emission display device.
【請求項21】 基体上に多結晶性半導体薄膜を形成す
るための装置であって、 原料ガス供給手段と、 水素又は水素含有ガス供給手段と、 触媒体と、 触媒体加熱手段と、 基体加熱手段と、 前記原料ガスを加熱された前記触媒体に接触させて生成
した反応種を前記基体上に堆積させることにより低級結
晶性半導体薄膜を形成する気相成長工程と、前記水素又
は水素含有ガスを加熱された前記触媒体に接触させて生
成した活性種を前記低級結晶性半導体薄膜に作用させる
ことにより前記低級結晶性半導体薄膜の結晶化を促進す
るアニール工程とを交互に行うために、前記原料ガス供
給手段と前記水素又は水素含有ガス供給手段とを制御す
る制御手段とを有する、多結晶性半導体薄膜の形成装
置。
21. An apparatus for forming a polycrystalline semiconductor thin film on a substrate, comprising: a raw material gas supply unit; a hydrogen or hydrogen-containing gas supply unit; a catalyst body; a catalyst body heating unit; Means, a vapor phase growth step of forming a lower crystalline semiconductor thin film by depositing a reactive species generated by bringing the source gas into contact with the heated catalyst body on the substrate, and the hydrogen or the hydrogen-containing gas. In order to alternately perform an annealing step of accelerating crystallization of the lower crystalline semiconductor thin film by causing active species generated by contacting the heated catalyst body with the heated crystalline body to act on the lower crystalline semiconductor thin film, An apparatus for forming a polycrystalline semiconductor thin film, comprising: a source gas supply unit; and a control unit that controls the hydrogen or hydrogen-containing gas supply unit.
【請求項22】 基体上に多結晶性半導体薄膜を有する
半導体装置を製造するための装置であって、 原料ガス供給手段と、 水素又は水素含有ガス供給手段と、 触媒体と、 触媒体加熱手段と、 基体加熱手段と、 前記原料ガスを加熱された前記触媒体に接触させて生成
した反応種を前記基体上に堆積させることにより低級結
晶性半導体薄膜を形成する気相成長工程と、前記水素又
は水素含有ガスを加熱された前記触媒体に接触させて生
成した活性種を前記低級結晶性半導体薄膜に作用させる
ことにより前記低級結晶性半導体薄膜の結晶化を促進す
るアニール工程とを交互に行うために、前記原料ガス供
給手段と前記水素又は水素含有ガス供給手段とを制御す
る制御手段とを有する半導体装置の製造装置。
22. An apparatus for manufacturing a semiconductor device having a polycrystalline semiconductor thin film on a substrate, comprising: a raw material gas supply unit; a hydrogen or hydrogen-containing gas supply unit; a catalyst; and a catalyst heating unit A substrate heating means; a vapor phase growth step of forming a lower crystalline semiconductor thin film by depositing a reactive species generated by bringing the raw material gas into contact with the heated catalyst body on the substrate; Alternatively, an annealing step of promoting crystallization of the lower crystalline semiconductor thin film by causing active species generated by bringing a hydrogen-containing gas into contact with the heated catalyst to act on the lower crystalline semiconductor thin film is performed alternately. To this end, an apparatus for manufacturing a semiconductor device, comprising: a control unit for controlling the source gas supply unit and the hydrogen or hydrogen-containing gas supply unit.
【請求項23】 前記気相成長時の水素又は水素含有ガ
ス供給量よりも前記アニール時の水素又は水素含有ガス
供給量が多くされる、請求項21又は22に記載した装
置。
23. The apparatus according to claim 21, wherein a supply amount of hydrogen or a hydrogen-containing gas during the annealing is set to be larger than a supply amount of hydrogen or a hydrogen-containing gas during the vapor phase growth.
【請求項24】 タングステン、トリア含有タングステ
ン、モリブデン、白金、パラジウム、バナジウム、シリ
コン、アルミナ、金属を付着したセラミックス、及び炭
化ケイ素からなる群より選ばれた少なくとも1種の材料
によって、前記触媒体が形成されている、請求項21又
は22に記載した装置。
24. The catalyst body is made of at least one material selected from the group consisting of tungsten, tungsten containing thoria, molybdenum, platinum, palladium, vanadium, silicon, alumina, ceramics having metal attached thereto, and silicon carbide. Apparatus according to claim 21 or 22, wherein the apparatus is formed.
【請求項25】 前記触媒体及びこれを支持する支持体
の純度が99.99wt%以上、好ましくは99.99
9wt%又はそれ以上である、請求項21又は22に記
載した装置。
25. The purity of the catalyst and the support supporting the catalyst is 99.99 wt% or more, preferably 99.99 wt%.
23. The device according to claim 21 or 22, wherein the content is 9 wt% or more.
【請求項26】 前記低級結晶性半導体薄膜が微結晶シ
リコン含有アモルファスシリコン膜、微結晶シリコン
(アモルファスシリコン含有微結晶シリコン)膜、アモ
ルファスシリコン及び微結晶シリコン含有多結晶シリコ
ン膜、微結晶ゲルマニウム含有アモルファスゲルマニウ
ム膜、微結晶ゲルマニウム(アモルファスゲルマニウム
含有微結晶ゲルマニウム)膜、アモルファスゲルマニウ
ム及び微結晶ゲルマニウム含有多結晶ゲルマニウム膜、
又はSixGe1-x(0<x<1)で示されるアモルファ
スシリコンゲルマニウム膜からなり、かつ、前記水素又
は水素含有ガスが水素又は水素と不活性なガスとの混合
ガスからなる、請求項21又は22に記載した装置。
26. The low-crystalline semiconductor thin film includes a microcrystalline silicon-containing amorphous silicon film, a microcrystalline silicon (amorphous silicon-containing microcrystalline silicon) film, an amorphous silicon and a microcrystalline silicon-containing polycrystalline silicon film, and a microcrystalline germanium-containing amorphous. Germanium film, microcrystalline germanium (amorphous germanium-containing microcrystalline germanium) film, amorphous germanium and polycrystalline germanium film containing microcrystalline germanium,
Or consists Si x Ge 1-x amorphous silicon germanium film represented by (0 <x <1), and the hydrogen or hydrogen-containing gas is a mixed gas of hydrogen or hydrogen and inert gas, claim 23. The apparatus according to 21 or 22.
【請求項27】 前記低級結晶性半導体薄膜に錫等のIV
族元素の少なくとも1種を適量含有させ、この状態で前
記アニールが行われる、請求項26に記載した装置。
27. The lower crystalline semiconductor thin film is made of IV such as tin.
27. The apparatus according to claim 26, wherein the annealing is performed in an appropriate amount of at least one group element.
【請求項28】 前記多結晶性半導体薄膜によって、薄
膜絶縁ゲート型電界効果トランジスタのチャンネル、ソ
ース及びドレイン領域、又は配線、抵抗、容量又は電子
放出体等を形成する、請求項21又は22に記載した装
置。
28. The semiconductor device according to claim 21, wherein the polycrystalline semiconductor thin film forms a channel, a source and a drain region, a wiring, a resistor, a capacitor, an electron emitter or the like of the thin film insulated gate field effect transistor. Equipment.
【請求項29】 前記チャンネル、ソース及びドレイン
領域の形成後に、これらの領域に対し、水素又は水素含
有ガスを加熱された触媒体に接触させることによって生
成した活性種を作用させる、請求項28に記載した装
置。
29. The method according to claim 28, wherein after forming the channel, source and drain regions, active regions generated by contacting hydrogen or a hydrogen-containing gas with a heated catalyst body are applied to these regions. The described device.
【請求項30】 シリコン半導体装置、シリコン半導体
集積回路装置、シリコン−ゲルマニウム半導体装置、シ
リコン−ゲルマニウム半導体集積回路装置、化合物半導
体装置、化合物半導体集積回路装置、炭化ケイ素半導体
装置、炭化ケイ素半導体集積回路装置、液晶表示装置、
有機又は無機エレクトロルミネセンス表示装置、フィー
ルドエミッションディスプレイ(FED)装置、発光ポ
リマー表示装置、発光ダイオード表示装置、CCDエリ
ア/リニアセンサ装置、MOSセンサ装置、太陽電池装
置用の薄膜を製造する、請求項21又は22に記載した
装置。
30. A silicon semiconductor device, a silicon semiconductor integrated circuit device, a silicon-germanium semiconductor device, a silicon-germanium semiconductor integrated circuit device, a compound semiconductor device, a compound semiconductor integrated circuit device, a silicon carbide semiconductor device, and a silicon carbide semiconductor integrated circuit device. , Liquid crystal display,
Manufacturing thin films for organic or inorganic electroluminescent displays, field emission displays (FED) devices, light emitting polymer displays, light emitting diode displays, CCD area / linear sensor devices, MOS sensor devices, solar cell devices. 23. The apparatus according to 21 or 22.
【請求項31】 内部回路及び周辺回路を有する半導体
装置、固体撮像装置、電気光学装置等の製造に際し、こ
れらの少なくとも一部を構成する薄膜絶縁ゲート型電界
効果トランジスタのチャンネル、ソース及びドレイン領
域を前記多結晶性半導体薄膜によって形成する、請求項
30に記載した装置。
31. When manufacturing a semiconductor device, a solid-state imaging device, an electro-optical device, or the like having an internal circuit and a peripheral circuit, a channel, a source, and a drain region of a thin-film insulated gate field-effect transistor constituting at least a part of these devices. 31. The device according to claim 30, wherein the device is formed by the polycrystalline semiconductor thin film.
【請求項32】 各色用の有機又は無機エレクトロルミ
ネセンス層の下層にそれぞれ、前記薄膜絶縁ゲート型電
界効果トランジスタのドレイン又はソースと接続された
陰極又は陽極を有する装置を製造する、請求項31に記
載した装置。
32. The device according to claim 31, wherein a device having a cathode or an anode connected to a drain or a source of the thin-film insulated gate field effect transistor, respectively, is manufactured below the organic or inorganic electroluminescent layer for each color. The described device.
【請求項33】 前記薄膜絶縁ゲート型電界効果トラン
ジスタを含む能動素子上も前記陰極が覆い、或いは前記
各色用のエレクトロルミネセンス層の各層上及び各層間
の全面に前記陰極又は陽極が被着されている装置を製造
する、請求項32に記載した装置。
33. The cathode also covers an active element including the thin-film insulated gate field effect transistor, or the cathode or the anode is attached on each layer of the electroluminescent layer for each color and on the entire surface between each layer. 33. The device of claim 32, wherein said device is manufactured.
【請求項34】 前記各色用のエレクトロルミネセンス
層間にブラックマスク層を形成する、請求項32に記載
した装置。
34. The apparatus according to claim 32, wherein a black mask layer is formed between the electroluminescent layers for each color.
【請求項35】 フィールドエミッションディスプレイ
装置のエミッタを、前記多結晶性半導体薄膜を介して前
記薄膜絶縁ゲート型電界効果トランジスタのドレインに
接続すると共に前記多結晶性半導体薄膜上に成長された
n型多結晶性半導体膜又は多結晶性ダイヤモンド膜によ
って形成する、請求項31に記載した装置。
35. An emitter of a field emission display device connected to the drain of the thin-film insulated gate field effect transistor via the polycrystalline semiconductor thin film and an n-type poly grown on the polycrystalline semiconductor thin film. The device according to claim 31, wherein the device is formed of a crystalline semiconductor film or a polycrystalline diamond film.
【請求項36】 前記薄膜絶縁ゲート型電界効果トラン
ジスタを含む能動素子上にアース電位の遮蔽膜を形成す
る、請求項35に記載した装置。
36. The apparatus according to claim 35, wherein a ground potential shielding film is formed on the active element including the thin film insulated gate field effect transistor.
【請求項37】 前記遮蔽膜を前記フィールドエミッシ
ョンディスプレイ装置のゲート引き出し電極と同一材料
で同一工程により形成する、請求項36に記載した装
置。
37. The device according to claim 36, wherein the shielding film is formed of the same material and in the same process as a gate extraction electrode of the field emission display device.
【請求項38】 各色用の有機又は無機エレクトロルミ
ネセンス層の下層にそれぞれ、請求項1又は2に記載し
た多結晶性半導体薄膜からなる薄膜絶縁ゲート型電界効
果トランジスタのドレイン又はソースと接続された陰極
又は陽極を有し、前記薄膜絶縁ゲート型電界効果トラン
ジスタを含む能動素子上も前記陰極が覆い、或いは前記
各色用の有機又は無機エレクトロルミネセンス層の各層
上及び各層間の全面に前記陰極又は陽極が被着されてい
る電気光学装置。
38. A drain or source of a thin-film insulated-gate field-effect transistor comprising a polycrystalline semiconductor thin film according to claim 1 or 2 below an organic or inorganic electroluminescent layer for each color. Having a cathode or an anode, the cathode also covers the active element including the thin-film insulated gate field effect transistor, or the cathode or the entire surface of each layer of the organic or inorganic electroluminescent layer for each color and between the layers. Electro-optical device with an anode attached.
【請求項39】 前記各色用の前記有機又は無機エレク
トロルミネセンス層間にブラックマスク層が形成されて
いる、請求項38に記載した電気光学装置。
39. The electro-optical device according to claim 38, wherein a black mask layer is formed between the organic or inorganic electroluminescent layers for the respective colors.
【請求項40】 フィールドエミッションディスプレイ
(FED)のエミッタが、請求項1又は2に記載した多
結晶性半導体薄膜からなる薄膜絶縁ゲート型電界効果ト
ランジスタのドレインに前記多結晶性半導体薄膜を介し
て接続されると共に前記多結晶性半導体薄膜上に成長さ
れたn型多結晶性半導体膜又は多結晶性ダイヤモンド膜
によって形成されている電気光学装置。
40. An emitter of a field emission display (FED) is connected to the drain of the thin-film insulated gate field effect transistor comprising the polycrystalline semiconductor thin film according to claim 1 through the polycrystalline semiconductor thin film. And an electro-optical device formed of an n-type polycrystalline semiconductor film or a polycrystalline diamond film grown on the polycrystalline semiconductor thin film.
【請求項41】 前記薄膜絶縁ゲート型電界効果トラン
ジスタを含む能動素子上にアース電位の遮蔽膜が形成さ
れている、請求項40に記載した電気光学装置。
41. The electro-optical device according to claim 40, wherein a ground potential shielding film is formed on the active element including the thin film insulated gate field effect transistor.
【請求項42】 前記遮蔽膜が前記フィールドエミッシ
ョンディスプレイ装置のゲート引き出し電極と同一材料
で同一工程により形成される、請求項41に記載した電
気光学装置。
42. The electro-optical device according to claim 41, wherein the shielding film is formed of the same material and in the same process as a gate extraction electrode of the field emission display device.
JP2000391778A 2000-12-25 2000-12-25 Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device and equipment and electro-optical system for putting these methods into practice Pending JP2002198311A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000391778A JP2002198311A (en) 2000-12-25 2000-12-25 Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device and equipment and electro-optical system for putting these methods into practice

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000391778A JP2002198311A (en) 2000-12-25 2000-12-25 Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device and equipment and electro-optical system for putting these methods into practice

Publications (2)

Publication Number Publication Date
JP2002198311A true JP2002198311A (en) 2002-07-12
JP2002198311A5 JP2002198311A5 (en) 2007-05-17

Family

ID=18857870

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000391778A Pending JP2002198311A (en) 2000-12-25 2000-12-25 Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device and equipment and electro-optical system for putting these methods into practice

Country Status (1)

Country Link
JP (1) JP2002198311A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002294451A (en) * 2001-03-30 2002-10-09 Sony Corp Method for forming polycrystalline semiconductor thin- film, method for manufacturing semiconductor device, and apparatus for carrying out these methods
JP2010056560A (en) * 2007-08-10 2010-03-11 Mitsubishi Electric Corp Carbon film forming device
US7807495B2 (en) 2007-04-23 2010-10-05 Sanyo Electric Co., Ltd. Method of manufacturing semiconductor film and method of manufacturing photovoltaic element
JP2013033998A (en) * 2009-09-04 2013-02-14 Semiconductor Energy Lab Co Ltd Light-emitting device
US8570456B2 (en) 2005-08-12 2013-10-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display device and electronic device equipped with the semiconductor device
JP2019145806A (en) * 2008-09-19 2019-08-29 株式会社半導体エネルギー研究所 Display device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0574713A (en) * 1991-09-17 1993-03-26 Nippondenso Co Ltd Manufacture of amorphous semiconductor thin film
JP2000101088A (en) * 1998-09-22 2000-04-07 Sony Corp Electro-optical device, electro-optical device drive board, and manufacture thereof
JP2000243712A (en) * 1999-02-24 2000-09-08 Sony Corp Film forming method and device
JP2000269139A (en) * 1999-03-16 2000-09-29 Sony Corp Formation of polycrystalline silicon film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0574713A (en) * 1991-09-17 1993-03-26 Nippondenso Co Ltd Manufacture of amorphous semiconductor thin film
JP2000101088A (en) * 1998-09-22 2000-04-07 Sony Corp Electro-optical device, electro-optical device drive board, and manufacture thereof
JP2000243712A (en) * 1999-02-24 2000-09-08 Sony Corp Film forming method and device
JP2000269139A (en) * 1999-03-16 2000-09-29 Sony Corp Formation of polycrystalline silicon film

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002294451A (en) * 2001-03-30 2002-10-09 Sony Corp Method for forming polycrystalline semiconductor thin- film, method for manufacturing semiconductor device, and apparatus for carrying out these methods
US8570456B2 (en) 2005-08-12 2013-10-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display device and electronic device equipped with the semiconductor device
US7807495B2 (en) 2007-04-23 2010-10-05 Sanyo Electric Co., Ltd. Method of manufacturing semiconductor film and method of manufacturing photovoltaic element
JP2010056560A (en) * 2007-08-10 2010-03-11 Mitsubishi Electric Corp Carbon film forming device
JP2019145806A (en) * 2008-09-19 2019-08-29 株式会社半導体エネルギー研究所 Display device
US10559599B2 (en) 2008-09-19 2020-02-11 Semiconductor Energy Laboratory Co., Ltd. Display device
TWI714063B (en) * 2008-09-19 2020-12-21 日商半導體能源研究所股份有限公司 Display device
US11610918B2 (en) 2008-09-19 2023-03-21 Semiconductor Energy Laboratory Co., Ltd. Display device
JP2013033998A (en) * 2009-09-04 2013-02-14 Semiconductor Energy Lab Co Ltd Light-emitting device
US8890166B2 (en) 2009-09-04 2014-11-18 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and method for manufacturing the same

Similar Documents

Publication Publication Date Title
US7098085B2 (en) Method and apparatus for forming a thin semiconductor film, method and apparatus for producing a semiconductor device, and electro-optical apparatus
KR100882234B1 (en) Semiconductor thin film forming method, production methods for semiconductor device and electrooptical device, devices used for these methods, and semiconductor device and electrooptical device
JP4092541B2 (en) Method for forming semiconductor thin film and method for manufacturing semiconductor device
TW552707B (en) Method for forming thin semiconductor film, method for fabricating semiconductor device, system for executing these methods and electro optic device
US6521525B2 (en) Electro-optic device, drive substrate for electro-optic device and method of manufacturing the same
JP3911971B2 (en) Silicon thin film, thin film transistor, and method for manufacturing silicon thin film
US9431544B2 (en) Polysilicon thin-film transistor array substrate and method for preparing the same, and display device
KR20000028785A (en) Electro-optical apparatus, driving substrate for an electro-optical apparatus and method of manufacturing them
US20070093004A1 (en) Method of manufacturing thin film transistor including ZnO thin layer
JP4644964B2 (en) Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device
JP5563787B2 (en) THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING SAME, THIN FILM TRANSISTOR ARRAY SUBSTRATE AND DISPLAY DEVICE
JP2006518935A (en) Active matrix display transistor and manufacturing method thereof
JP2009088106A (en) Semiconductor layer and semiconductor device using the same, and display device
JP4599746B2 (en) Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device
JP2002294451A (en) Method for forming polycrystalline semiconductor thin- film, method for manufacturing semiconductor device, and apparatus for carrying out these methods
JP2002299238A (en) Polycrystalline semiconductor film-forming method and semiconductor device manufacturing method
JPH06224431A (en) Thin-film transistor and liquid crystal display panel
JP2002198311A (en) Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device and equipment and electro-optical system for putting these methods into practice
JP2000208422A (en) Forming method of laminated film and thin film forming system
JP2002293687A (en) Polycrystalline diamond thin film and method of forming the same, semiconductor device and method of manufacturing the same, apparatus used for implementation of these methods and electrooptic device
JP4599734B2 (en) Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device
JP2010141224A (en) Semiconductor device and its manufacturing method
JP2002246311A (en) Polycrystalline semiconductor thin film and formation method therefor, semiconductor device and manufacturing method therefor, device used for execution of the methods and electro-optical device
JP2002261006A (en) Method of forming polycrystalline semiconductor thin film and method of manufacturing semiconductor device
JP2002261010A (en) Method of forming polycrystalline semiconductor thin film, method of manufacturing semiconductor device, device for using in execution of these methods and electrooptic device

Legal Events

Date Code Title Description
RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20070125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070205

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070306

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090522

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100601