JP2002151514A - アルゴン、窒素、およびシランガスを使用した窒化珪素プラズマ処理方法 - Google Patents

アルゴン、窒素、およびシランガスを使用した窒化珪素プラズマ処理方法

Info

Publication number
JP2002151514A
JP2002151514A JP2001185272A JP2001185272A JP2002151514A JP 2002151514 A JP2002151514 A JP 2002151514A JP 2001185272 A JP2001185272 A JP 2001185272A JP 2001185272 A JP2001185272 A JP 2001185272A JP 2002151514 A JP2002151514 A JP 2002151514A
Authority
JP
Japan
Prior art keywords
mixing step
readable medium
sccm
computer readable
watts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001185272A
Other languages
English (en)
Inventor
Zhengquan Tan
タン ゼンカン
Kasra Khazeni
カーゼニ カスラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002151514A publication Critical patent/JP2002151514A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 ガス状化学物質であるアルゴン(Ar)、窒素
(N2)、およびシラン(SiH4)を使用して、水素含量が
13原子パーセント未満の窒化珪素を形成する方法を提供
する。 【解決手段】 プラズマ処理チャンバ内で窒化珪素を形
成する方法は、アルゴン(Ar)、窒素(N2)、およびシ
ラン(SiH4)をプラズマ中で混合するステップを含む。
1つの実施態様では、約100〜約250 sccmのアルゴン(A
r)、約100〜約500 sccmの窒素(N2)、および約10〜約
80 sccmのシラン(SiH4)を含むガス混合物からプラズ
マを形成することにより窒化珪素を堆積する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、一般に半導体ウ
ェーハの処理方法に関する。より詳細には、窒化珪素層
を堆積する方法に関する。
【0002】
【発明の背景】集積回路は、1つのチップ上に多数のト
ランジスタ、コンデンサ、抵抗器を含むことができる複
雑な装置に発展した。チップ設計の発展により、さらに
高速なサーキットリーと高い回路密度が必要とされてい
る。回路密度が高くなるにつれて、誘電層の絶縁性能が
最重要課題になる。
【0003】使用されてきた誘電材料の1つが窒化珪素
である。通常、窒化珪素は、隣接層間または回路構造物
間の絶縁誘電材料として使用される。通常、窒化珪素
は、SiH4、SiH2Cl2、およびSiCl4とNH3およびN2を混合
して形成される。窒化珪素の堆積処理中、薄膜の水素含
量は堆積処理サイクル中の温度、出力密度、および周波
数の変化と共に変わることがある。通常、窒化珪素薄膜
の水素含量は13原子パーセントよりも大きい。たとえ
ば、窒化珪素のプラズマ増速化学気相堆積法(plasma e
nhanced chemical vapor deposition)(約400℃で堆
積)には通常、約25原子パーセントの水素が含まれる。
一般に、温度が上昇すると水素含量は低下する(すなわ
ち、約550℃での堆積では、水素含量は約13パーセント
に低下する)。
【0004】通常、窒化珪素薄膜は、金属間混合物誘電
(IMD)層またはプリメタル(premetal)誘電
(PMD)層のエッチング停止に使用される。IMD層または
PMD層に関するエッチング選択性は、性能条件を決める
重要な条件である。エッチング選択性が高いことが望ま
しい。別の用途として、窒化珪素はインターメタル誘電
層に銅が拡散することを防ぐ銅障壁として使用される。
電子の移動を防止し、窒化珪素薄膜の完全な誘電性を維
持するには、窒化珪素層への銅の透過を最小限に抑える
ことが望ましい。窒化珪素薄膜のその他の望ましい特性
としては、漏れ電流が少ないことと絶縁破壊電圧が低い
ことが挙げられる。
【0005】飛沫同伴した水素は、窒化珪素層の誘電性
能に決定的な影響を与える。たとえば、後続の処理中に
水素結合が破壊されることが多くなり、その結果、漏れ
電流の増加や絶縁破壊電圧の低下など、完全な誘電性の
劣化が生じる。銅障壁に使用される窒化珪素薄膜が薄く
なければならない(通常300〜500Å)高度な半導体装置
で、完全な誘電性が劣化することが多い。さらに、窒化
物薄膜の水素含量が高いと、酸化シリコンに関してエッ
チング選択性が低くなる。
【0006】したがって、高い誘電特性を有する薄膜を
形成する窒化珪素堆積処理技術が必要とされている。
【0007】
【課題を解決するための手段】この発明の1つの態様
は、約13原子パーセント未満の水素を含む窒化珪素の堆
積方法を提供する。1つの実施態様において、この発明
は、アルゴン(Ar)、窒素(N2)、およびシラン(Si
H4)を含むガス(またはガス混合物)で形成されるプラ
ズマから、プラズマ処理チャンバ内で窒化珪素を堆積す
る方法を提供する。
【0008】理解しやすいように、各図面で共通の要素
にはできるだけ同一の符号数字を使用している。
【0009】この発明は、以下の詳細な説明と添付図面
を検討すれば容易に理解できる。
【0010】 〔発明の詳細な説明〕この発明は、13原子パーセント未
満の水素を含む窒化珪素を形成する方法を提供するもの
である。1つの実施態様において、この発明は、アルゴ
ン(Ar)、窒素(N2)、およびシラン(SiH4)を含むガ
ス(またはガス混合物)で形成されるプラズマから窒化
珪素を堆積する方法を提供する。この発明の堆積処理
は、カリフォルニア州サンタクララ市のApplied Materi
als, Inc.から入手できるUltima(登録商標) High Den
sity Chemical Vapor Deposition(HDP-CVD)システム
などのプラズマ化学気相堆積チャンバで実行することが
できる。
【0011】図1は、この発明による堆積方法を実施で
きるHDP-CVDシステム(以下、「システム」という)を
示している。通常、システム100にはチャンバ本体112、
蓋組立体114、および、基板処理を実行するための排気
可能なエンクロージャ(以下、「チャンバ」という)14
0を画成する基板支持部材116が含まれる。システム100
は、中央処理装置(以下、「CPU」という)106、メモリ
108、および支持回路110から成る制御装置102に接続さ
れている。制御装置102は、堆積処理の制御を簡単にす
るためにHDP-CVDシステムの様々な構成要素に接続され
ている。
【0012】チャンバ本体112は、内部環状処理領域120
を画成し下端の方が細くなって同心排気通路122を画成
する側壁118を有する、一体型の加工済み構造物である
ことが望ましい。チャンバ本体112は、スリット弁144で
選択的に密封される少なくとも1つの基板入口ポート12
4を含む複数のポートを画成する。
【0013】チャンバ壁118の上部表面は、蓋組立体114
が支えられる、通常は平坦なランディング領域を画成す
る。1つ以上のO−リング溝がチャンバ壁の上部表面に
形成され、チャンバ本体112と蓋組立体114との間の気密
密封を形成する1つ以上のO−リングを収容する。
【0014】通常、チャンバの蓋組立体114は、エネル
ギー伝達ドーム132とガス分散リング138から成る。蓋組
立体114は、プラズマ処理領域120の物理的エンクロージ
ャと処理を制御するエネルギー供給システムの両方を提
供する。ドーム132は、RFエネルギーを伝達できる誘電
材料(たとえば、酸化アルミニウム(Al2O3)などのセ
ラミック)から成る。ドーム132の温度は、様々な処理
サイクル(堆積サイクルおよび洗浄サイクル)中に調整
される。通常、ドーム132は洗浄サイクル中は加熱さ
れ、処理中は冷却される。
【0015】ガス分散リング138は、ドーム132とチャン
バ本体112の間に配置される。O−リング溝がガス分散
リング138の上部に形成され、ドーム132とガス分散リン
グ138の上部とを密封するO−リングを収容する。通
常、ガス分散リング138は、ガスパネル190につながるノ
ズルを収容するための複数のポートを内部に有する、ア
ルミニウムその他の適切な材料から成る環状リングから
構成される。ガスパネル190は、シャワーヘッド192を介
してチャンバ140に接続することもできる。任意には、
シャワーヘッド192とガス分散リング138の両方を共に使
用することもできる。ガスパネル190は、プロセスガス
その他のガスをチャンバ140に供給する。
【0016】別々に電力を供給される2つのRFコイル、
上部コイル172と側部コイル174は、誘電ドーム132の外
部に巻き付けられる。RFコイル172と174の電力は、2つ
の可変周波数RF電源176と178から供給される。各電源
(176と178)には、制御回路、および電力をプラズマに
伝達するRF回路が含まれる。
【0017】基板支持部材116がチャンバ壁118に取り付
けられ、チャンバ140の中央に、一般に環状の基板配置
表面を形成する。また、基板支持部材116には、処理中
に基板104の温度を維持する温度制御システムが含まれ
る。この制御装置102は支持部材116に接続され、基板10
4の温度を感知する。制御装置102は熱流体の温度を変化
させて、基板をあらかじめ設定されている温度に維持す
る。あるいは、別の加熱冷却方法として抵抗加熱などを
利用して、処理中の基板の温度を制御することもでき
る。
【0018】支持部材116をチャンバ140で位置決めする
と、環状支持部材116の外部壁150とチャンバ140の内部
壁152により、支持部材116の周囲全体でほぼ一様である
環状排気通路122が画成される。この通路122は、支持部
材116と中心がほぼ同じである排気ポート154で終わる。
この排気ポート154は支持部材116の基板配置位置の下の
ほぼ中央に位置し、チャンバ140からのガスを通路122を
通して一様に排出する。これにより、チャンバ140の底
の中央にある排気ポート154を通ってチャンバ140から放
射状に内方向および外方向への、基板表面の周囲全体で
の一様なガスの流れが可能になる。
【0019】スロットルアッセンブリ156、ゲート弁15
8、およびターボ分子ポンプ160から成るポンプスタック
がチャンバ本体112の下部の細くなった部分に取り付け
られ、システム100内の圧力を制御する。スロットルア
ッセンブリ156およびゲート弁158がチャンバ本体112と
ターボ分子ポンプ160の間に取り付けられ、ゲート弁158
および/または圧力制御による隔離を可能にする。フォ
アライン157が、ターボ分子ポンプ160の上流および下流
の位置で排気ポート154に接続される。これにより、バ
ッキングポンプ機能が提供される。フォアライン157
が、リモートメインフレームポンプ(図示しない)、通
常は粗引きポンプに接続される。
【0020】チャンバ140での基板104の処理中、真空ポ
ンプが約2〜約15 mTorr(ミリトル)の範囲までチャン
バ140を排気し、ガス分散アッセンブリを通ってチャン
バ140への、計量できるプロセスガスまたはガスの流れ
が供給される。チャンバ圧を直接計量し、弁を開閉して
ポンプの排気速度を調節する制御装置にその情報を送る
ことにより、チャンバ圧を制御する。ガスの流れと濃度
は、処理手順で指定されたソフトウェア設定ポイントを
介してマスフローコントローラにより直接制御される。
排気ポート154を通してチャンバ140から排気されるガス
の流量を測定することにより、吸引ガス供給のマスフロ
ーコントローラ(図示しない)を使用してチャンバ140
内で所望の圧力とガス濃度を維持することもできる。
【0021】処理中、図1に示した半導体基板104が基
板支持部材116上に配置され、ガス成分が入口ポート124
を通ってガスパネルから処理チャンバに供給され、ガス
混合物を形成する。RF電源から上部コイル172、側部コ
イル174、および基板支持部材116にそれぞれRF電力が印
加され、ガス混合物が点火されてプラズマになる。別の
方法でガス混合物を点火することもできる。チャンバ14
0内部の圧力は、チャンバ140と真空ポンプの間に配置さ
れた絞り弁で制御する。チャンバ壁表面の温度は、シス
テム100の壁に配置された液体を含む管路(図示しな
い)で制御する。
【0022】基板104の温度は、支持部材116の温度を安
定化し、ガス供給源(図示しない)から基板の背面と支
持表面上の溝(図示しない)で形成される通路にヘリウ
ムガスを流すことにより制御する。ヘリウムガスは、基
板104と基板支持部材116の間の熱伝達を容易にするため
に使用する。堆積処理中、基板104は定常温度まで加熱
される。ドーム132と基板支持部材116の両方の熱制御に
より、基板104の温度は250 〜500℃に維持される。
【0023】上部誘導コイル172に印加されるRF電力は
周波数が50 kHz〜13.56 MHzであり、数百ワット〜数千
ワットの間で変動してもよい。側部誘導コイル174に印
加されるRF電力は周波数が50 kHz〜13.56 MHzであり、
数百ワット〜数千ワットの間で変動してもよい。基板支
持部材116に印加される電力はDCでもRFでもよく、通常
はステップカバレージを向上させるために使用する。バ
イアス電力はなるべく使用しないほうがよい。この発明
の1つの実施態様では、上部コイル電力は周波数が12.56
MHzで約1,000〜約4,800ワットであり、側部コイル電力
は周波数が12.56MHzで約1,000〜約4,800ワットであり、
バイアス電力は周波数が13.56 MHzで最大では約5,000ワ
ットである。
【0024】上記のようにシステム100を簡単に制御す
るために、CPU106は、様々なチャンバとサブプロセッサ
を制御する業界設定で使用できる汎用コンピュータプロ
セッサであればどのような種類でもよい。メモリ108がC
PU106に接続される。メモリ108、すなわちコンピュータ
で読み取り可能な媒体としては、ランダムアクセスメモ
リ(RAM)、読み取り専用メモリ(ROM)、フロッピー
(登録商標)ディスク、ハードディスク、またはその他
のデジタル記憶装置(ローカルでもリモートでも)など
簡単に入手できるメモリ(1つでも複数でも)を使用で
きる。従来の方法でプロセッサを補助するために、支持
回路110がCPU106に接続される。これらの回路には、キ
ャッシュ、電源、クロック回路、入出力回路とサブシス
テムなどが含まれる。この発明の堆積処理108は通常、C
PU106により実行され、ソフトウェアルーチン402の一部
としてメモリ108に記憶される。ソフトウェアルーチン4
02については、図2を参照しながら後述する。ソフトウ
ェアルーチン402は、CPU106で制御されるハードウェア
から遠隔で検索される第2のCPU(図示しない)により記
憶および/または実行することもできる。
【0025】図2は、堆積処理400を詳細に示してい
る。堆積処理400は、システム100へのアルゴンの供給
(ステップ406)、システム100への窒素の供給(ステッ
プ408)、システム100へのシランの供給(ステップ41
0)、システム100内でのアルゴン、窒素、およびシラン
の圧力調整(ステップ412)、プラズマの形成と維持の
ためのシステム100へのRF電力の印加(ステップ414)、
ウェーハ温度の制御(ステップ416)、および窒化珪素
の堆積(ステップ418)から成る。1つの実施態様で
は、堆積中にバイアス電力を利用しない。
【0026】図1及び2を同時に参照すればわかるよう
に、CPU106によりソフトウェアルーチン402を実行する
と、汎用コンピュータが、チャンバでの処理を制御する
特殊用途コンピュータ(制御装置)102に変換され、堆
積処理が実行される。この発明のプロセスをソフトウェ
アルーチンとして実装するものとして説明したが、ここ
で開示した方法の一部のステップは、ソフトウェア制御
装置からでもハードウェア内でも実行することができ
る。このため、この発明はコンピュータシステム上での
実行時にソフトウェアに実装することも、特殊用途の集
積回路その他のハードウェア実装としてハードウェア内
に実装することも、ソフトウェアとハードウェアの組合
わせとして実装することもできる。
【0027】より詳細には、たとえば、約200 sccmのア
ルゴン、約200 sccmの窒素、および約20 sccmのシラン
から成るガス混合物をステップ406、408、および410で
それぞれチャンバに供給することによりウェーハ104上
に窒化珪素を堆積する。ステップ412で、システム100内
のガス混合物の全圧力を約6 mTorrに調整する。
【0028】ウェーハ104上にガス混合物が存在する
と、ステップ414で約1,500ワットのRF電力を上部コイル
に印加し、3,000ワットのRF電力を側部コイルに印加
し、プラズマを形成し維持する。ステップ416で、ウェ
ーハ104を約400℃に加熱する。ステップ418で、水素含
量が約8原子パーセント以下の窒化珪素がウェーハ104上
に堆積する。
【0029】堆積処理400で得られる窒化珪素層の水素
含量は、従来技術を利用して堆積された窒化珪素のそれ
と比べて優れている。両方の窒化珪素薄膜を比較する
と、堆積処理400を利用して堆積された薄膜は、従来技
術を利用して堆積された薄膜より物理的特性に優れてい
ることが明らかである。たとえば、堆積処理400の窒化
珪素薄膜のウェットエッチング速度は6:1 BOE(緩衝酸
化物エッチャー)溶液で6.5 Å/分であり、水素含量は
約8原子パーセント(水素前方散乱技術で測定)であ
る。従来技術のプラズマ増速化学気相堆積薄膜では一般
に、ウェットエッチング速度は6:1 BOEで65 Å/分であ
り、水素含量は約14原子パーセントである。
【0030】さらに、堆積処理400で得られる窒化珪素
薄膜は、従来技術を利用して堆積された窒化珪素薄膜に
比べてバリア特性に優れている。銅拡散長さ、すなわち
10-4原子パーセントの濃度まで窒化珪素薄膜に銅が透過
する深さは、堆積処理400を利用する場合に大幅に向上
する。従来技術のプラズマ化学気相堆積薄膜の拡散長さ
は通常、約300 Åである。堆積処理400を利用して堆積
された薄膜の拡散長さは通常、150 Åである。拡散長さ
が短い薄膜は、電子の移動を最小限に抑えるために薄膜
から製造される集積回路構造物で利用すればより有利で
ある。
【0031】上記開示したこの発明の実施態様の堆積処
理条件をまとめたものが図3の列502である。図3の列5
04には、Ultima(登録商標) HDP-CVDシステムを利用し
てこの発明を実施することができる処理範囲が含まれて
いる。
【0032】なお、この発明の精神にもとることなく、
ここで開示した説明を利用して、許容されるエッチング
選択性および銅バリア特性を実現するために処理条件を
調整できる別の堆積装置でこの発明を実施できる。
【図面の簡単な説明】
【図1】この発明による堆積処理に使用するプラズマ処
理装置の概略図である。
【図2】この発明による方法の流れ図である。
【図3】図1の装置を使用して実施される場合の、この
発明による方法の処理条件を要約した表である。
【符号の説明】
100 システム 102 制御装置 104 基板 106 CPU 108 メモリ 110 支持回路 112 チャンバ本体 114 蓋組立体 116 基板支持部材 118 側壁 120 内部環状処理領域 122 排気通路 124 基板入口ポート 132 エネルギー伝達ドーム 138 ガス分散リング 140 チャンバ 144 スリット弁 150 外部壁 152 内部壁 154 排気ポート 156 スロットルアッセンブリ 157 フォアライン 158 ゲート弁 160 ターボ分子ポンプ 172 上部コイル 174 側部コイル 176 電源 178 電源 190 ガスパネル 192 シャワーヘッド 400 堆積処理 402 ソフトウェアルーチン
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ゼンカン タン アメリカ合衆国, カリフォルニア州, クパティノ , クリフデン ウェイ 20306 (72)発明者 カスラ カーゼニ アメリカ合衆国, カリフォルニア州, サン ノゼ, エール ドライヴ 5554 Fターム(参考) 4K030 AA06 AA16 AA18 BA40 CA04 EA08 FA04 JA05 JA06 JA09 JA10 JA16 5F058 BA20 BC08 BF07 BF23 BF29 BF30 BF37

Claims (42)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ処理チャンバ内で窒化珪素を形
    成する方法であって、アルゴン(Ar)、窒素(N2)、お
    よびシラン(SiH4)をプラズマ中で混合するステップを
    含む、方法。
  2. 【請求項2】 前記混合ステップが約100〜約250 sccm
    のArを供給するステップをさらに含む、請求項1に記載
    の方法。
  3. 【請求項3】 前記混合ステップが約200 sccmのArを供
    給するステップをさらに含む、請求項1に記載の方法。
  4. 【請求項4】 前記混合ステップが約100〜約500 sccm
    のN2を供給するステップをさらに含む、請求項1に記載
    の方法。
  5. 【請求項5】 前記混合ステップが約200 sccmのN2を供
    給するステップをさらに含む、請求項1に記載の方法。
  6. 【請求項6】 前記混合ステップが約10〜約80 sccmのS
    iH4を供給するステップをさらに含む、請求項1に記載
    の方法。
  7. 【請求項7】 前記混合ステップが約20 sccmのSiH4
    供給するステップをさらに含む、請求項1に記載の方
    法。
  8. 【請求項8】 前記混合ステップが約2〜約15 mTorrの
    全ガス圧を維持するステップをさらに含む、請求項1に
    記載の方法。
  9. 【請求項9】 前記混合ステップが約6 mTorrの全ガス
    圧を維持するステップをさらに含む、請求項1に記載の
    方法。
  10. 【請求項10】 約250〜約500℃で工作物を維持するス
    テップをさらに含む、請求項1に記載の方法。
  11. 【請求項11】 約400℃で工作物を維持するステップ
    をさらに含む、請求項1に記載の方法。
  12. 【請求項12】 約1,000〜約4,800ワットの第1誘導結
    合コイルに誘導電力を印加するステップをさらに含む、
    請求項1に記載の方法。
  13. 【請求項13】 約1,500ワットの第1誘導結合コイル
    に誘導電力を印加するステップをさらに含む、請求項1
    に記載の方法。
  14. 【請求項14】 形成された窒化珪素の水素含量が約13
    原子パーセント未満である、請求項1に記載の方法。
  15. 【請求項15】 約1,000〜約4,800ワットの第2誘導結
    合コイルに誘導電力を印加するステップをさらに含む、
    請求項1に記載の方法。
  16. 【請求項16】 約3,000ワットの第2誘導結合コイル
    に誘導電力を印加するステップをさらに含む、請求項1
    に記載の方法。
  17. 【請求項17】 プラズマ処理チャンバ内で窒化珪素を
    形成する方法であって、約100〜約250 sccmのアルゴン
    (Ar)、約100〜約500 sccmの窒素(N2)、および約10
    〜約80 sccmのシラン(SiH4)をプラズマ中で混合する
    ステップを含む、方法。
  18. 【請求項18】 混合ステップが約250〜約500℃で工作
    物を維持するステップをさらに含む、請求項17に記載
    の方法。
  19. 【請求項19】 約1,000〜約4,800ワットの第1誘導結
    合コイルに誘導電力を印加するステップをさらに含む、
    請求項18に記載の方法。
  20. 【請求項20】 約1,000〜約4,800ワットの第2誘導結
    合コイルに誘導電力を印加するステップをさらに含む、
    請求項19に記載の方法。
  21. 【請求項21】 約4〜約15 mTorrの全ガス圧を維持す
    るステップをさらに含む、請求項20に記載の方法。
  22. 【請求項22】 アルゴン(Ar)、窒素(N2)、および
    シラン(SiH4)をプラズマ中で混合し、その結果水素含
    量が13原子パーセント未満の窒化珪素を形成するステッ
    プを実行するために、プロセッサによる実行時に半導体
    ウェーハ処理チャンバをプロセッサに制御させるように
    する命令を含む複数の命令を記憶する、コンピュータで
    読み取り可能な媒体。
  23. 【請求項23】 前記混合ステップが約100〜約250 scc
    mのArを供給するステップをさらに含む、請求項22に
    記載のコンピュータで読み取り可能な媒体。
  24. 【請求項24】 前記混合ステップが約200 sccmのArを
    供給するステップをさらに含む、請求項22に記載のコ
    ンピュータで読み取り可能な媒体。
  25. 【請求項25】 前記混合ステップが約100〜約500 scc
    mのN2を供給するステップをさらに含む、請求項22に
    記載のコンピュータで読み取り可能な媒体。
  26. 【請求項26】 前記混合ステップが約200 sccmのN2
    供給するステップをさらに含む、請求項22に記載のコ
    ンピュータで読み取り可能な媒体。
  27. 【請求項27】 前記混合ステップが約10〜約80 sccm
    のSiH4を供給するステップをさらに含む、請求項22に
    記載のコンピュータで読み取り可能な媒体。
  28. 【請求項28】 前記混合ステップが約20 sccmのSiH4
    を供給するステップをさらに含む、請求項22に記載の
    コンピュータで読み取り可能な媒体。
  29. 【請求項29】 前記混合ステップが約4〜約15 mTorr
    の全ガス圧を維持するステップをさらに含む、請求項2
    2に記載のコンピュータで読み取り可能な媒体。
  30. 【請求項30】 前記混合ステップが約6 mTorrの全ガ
    ス圧を維持するステップをさらに含む、請求項22に記
    載のコンピュータで読み取り可能な媒体。
  31. 【請求項31】 前記混合ステップが約250〜約500℃で
    工作物を維持するステップをさらに含む、請求項22に
    記載のコンピュータで読み取り可能な媒体。
  32. 【請求項32】 前記混合ステップが約400℃で工作物
    を維持するステップをさらに含む、請求項22に記載の
    コンピュータで読み取り可能な媒体。
  33. 【請求項33】 前記混合ステップが約1,000〜約4,800
    ワットの第1誘導結合コイルに誘導電力を印加するステ
    ップをさらに含む、請求項22に記載のコンピュータで
    読み取り可能な媒体。
  34. 【請求項34】 前記混合ステップが約1,500ワットの
    第1誘導結合コイルに誘導電力を印加するステップをさ
    らに含む、請求項22に記載のコンピュータで読み取り
    可能な媒体。
  35. 【請求項35】 前記混合ステップが約1,000〜約4,800
    ワットの第2誘導結合コイルに誘導電力を印加するステ
    ップをさらに含む、請求項22に記載のコンピュータで
    読み取り可能な媒体。
  36. 【請求項36】 前記混合ステップが約3,000ワットの
    第2誘導結合コイルに誘導電力を印加するステップをさ
    らに含む、請求項22に記載のコンピュータで読み取り
    可能な媒体。
  37. 【請求項37】 前記混合ステップが、約100〜約250 s
    ccmのアルゴン(Ar)を供給するステップと、約100〜約
    500 sccmの窒素(N2)を供給するステップと約10〜約80
    sccmのシラン(SiH4)を供給するステップとをさらに
    含む、請求項22に記載のコンピュータで読み取り可能
    な媒体。
  38. 【請求項38】 混合ステップが約4〜約15 mTorrの全
    ガス圧を維持するステップをさらに含む、請求項37に
    記載のコンピュータで読み取り可能な媒体。
  39. 【請求項39】 約250〜約500℃で工作物を維持するス
    テップをさらに含む、請求項38に記載のコンピュータ
    で読み取り可能な媒体。
  40. 【請求項40】 約1,000〜約4,800ワットの第1誘導結
    合コイルに誘導電力を印加するステップをさらに含む、
    請求項39に記載のコンピュータで読み取り可能な媒
    体。
  41. 【請求項41】 約1,000〜約4,800ワットの第2誘導結
    合コイルに誘導電力を印加するステップをさらに含む、
    請求項40に記載のコンピュータで読み取り可能な媒
    体。
  42. 【請求項42】 アルゴン(Ar)、窒素(N2)、および
    シラン(SiH4)をプラズマ中で混合するステップにより
    化学気相堆積チャンバで形成される、水素含量が約13原
    子パーセント未満の窒化珪素。
JP2001185272A 2000-06-19 2001-06-19 アルゴン、窒素、およびシランガスを使用した窒化珪素プラズマ処理方法 Withdrawn JP2002151514A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US59683300A 2000-06-19 2000-06-19
US09/596833 2000-06-19

Publications (1)

Publication Number Publication Date
JP2002151514A true JP2002151514A (ja) 2002-05-24

Family

ID=24388895

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001185272A Withdrawn JP2002151514A (ja) 2000-06-19 2001-06-19 アルゴン、窒素、およびシランガスを使用した窒化珪素プラズマ処理方法

Country Status (4)

Country Link
EP (1) EP1168427A1 (ja)
JP (1) JP2002151514A (ja)
KR (1) KR20010113557A (ja)
TW (1) TW584902B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7274038B2 (en) 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
JP2009235504A (ja) * 2008-03-27 2009-10-15 Fujifilm Corp 窒化珪素膜の成膜方法、ガスバリアフィルムの製造方法、および、ガスバリアフィルム
JP2009266939A (ja) * 2008-04-23 2009-11-12 Renesas Technology Corp 半導体装置の製造方法
JP2011508434A (ja) * 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 低ウェットエッチング速度の窒化シリコン膜

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1408140A1 (en) * 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US20060019502A1 (en) 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7396766B2 (en) * 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
JP2008047620A (ja) * 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
US7988875B2 (en) 2007-02-08 2011-08-02 Applied Materials, Inc. Differential etch rate control of layers deposited by chemical vapor deposition
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
DE102007039758B4 (de) * 2007-08-22 2012-10-31 Johann Wolfgang Goethe-Universität Frankfurt am Main Einrichtung und Verfahren zur Erzeugung eines Plasmas durch niederfrequente induktive Anregung
KR101542840B1 (ko) 2008-09-09 2015-08-07 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 이의 제조 방법
RU2518283C1 (ru) * 2012-12-07 2014-06-10 Открытое акционерное общество "Российская корпорация ракетно-космического приборостроения и информационных системы" (ОАО "Российские космические системы") Способ осаждения нитрида кремния на кремниевую подложку
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0635323B2 (ja) * 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
WO2000003425A1 (en) * 1998-07-10 2000-01-20 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7274038B2 (en) 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
US8227805B2 (en) 2003-06-30 2012-07-24 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
US8535965B2 (en) 2003-06-30 2013-09-17 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
JP2011508434A (ja) * 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 低ウェットエッチング速度の窒化シリコン膜
JP2009235504A (ja) * 2008-03-27 2009-10-15 Fujifilm Corp 窒化珪素膜の成膜方法、ガスバリアフィルムの製造方法、および、ガスバリアフィルム
JP2009266939A (ja) * 2008-04-23 2009-11-12 Renesas Technology Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
EP1168427A1 (en) 2002-01-02
KR20010113557A (ko) 2001-12-28
TW584902B (en) 2004-04-21

Similar Documents

Publication Publication Date Title
JP2002151514A (ja) アルゴン、窒素、およびシランガスを使用した窒化珪素プラズマ処理方法
US7097886B2 (en) Deposition process for high aspect ratio trenches
US7481886B2 (en) Plasma process system and plasma process method
US6191026B1 (en) Method for submicron gap filling on a semiconductor substrate
US20140186544A1 (en) Metal processing using high density plasma
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US20130288485A1 (en) Densification for flowable films
US5587344A (en) Method for fabricating an oxynitride film for use in a semiconductor device
TW580752B (en) Method of depositing a nitrogen-doped FSG layer
JP5197256B2 (ja) Hdpプロセスにおけるエッチングレートドリフトの削減
JP2007305981A (ja) 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
US20080233764A1 (en) Formation of Gate Insulation Film
TW200926291A (en) Impurity control in HDP-CVD dep/etch/dep processes
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US6436303B1 (en) Film removal employing a remote plasma source
JP2004235637A (ja) エッチストップ層の2段階形成方法
JPH0864540A (ja) 薄膜形成方法及び装置
JP3381774B2 (ja) CVD−Ti膜の成膜方法
JPH0766186A (ja) 誘電体の異方性堆積法
JPH04230032A (ja) 半導体集積回路の製造方法
WO2017074606A1 (en) Low temp single precursor arc hard mask for multilayer patterning application
JP2023065378A (ja) 基板及びチャンバ部品上への金属ケイ素化合物層の堆積
US20230113063A1 (en) Dynamic processing chamber baffle
TW202301438A (zh) 具有平坦頂部輪廓的間隔物圖案化製程
WO2023027827A1 (en) Fully self aligned via integration processes

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080902