JP2001523887A - プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法 - Google Patents

プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法

Info

Publication number
JP2001523887A
JP2001523887A JP2000520917A JP2000520917A JP2001523887A JP 2001523887 A JP2001523887 A JP 2001523887A JP 2000520917 A JP2000520917 A JP 2000520917A JP 2000520917 A JP2000520917 A JP 2000520917A JP 2001523887 A JP2001523887 A JP 2001523887A
Authority
JP
Japan
Prior art keywords
wall
processing chamber
cleaning
plasma
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000520917A
Other languages
English (en)
Inventor
ジョンソン、ウェイン・エル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2001523887A publication Critical patent/JP2001523887A/ja
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Optics & Photonics (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Epidemiology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

(57)【要約】 【課題】高密度プラズマ源の全ての表面での化学的性質を制御する方法並びに装置を提供する 【解決手段】半導体ウエハのような基板を処理するためのプラズマ処理システム並びにクリーニングする方法である。このシステムは、内壁並びに外壁を備えた処理チャンバと、この処理チャンバの内壁に熱的に結合された加熱部材と、静電シールドとを有する。また、このシステムは、処理チャンバ内のガスにRFパワーを誘導結合させてプラズマを発生させるように処理チャンバを囲む誘導コイルを有する。RFパワーは、加熱もしくは冷却され得る、静電チャックのようなウエハホルダーに印加され得る。クリーニングのための方法は、バイアスシールドにバイアス電圧を印加し、加熱部材を使用して処理チャンバを加熱し、内面を最大の面から最小の面へと順次クリーニングする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、改良されたプラズマ処理システム、特に、システムの全体に渡るク
リーニングを改善するためにシステムの全ての面が電気的にバイアスされ得る並
びに/もしくは加熱もしくは冷却され得るプラズマ処理システムに関する。また
、本発明は、処理を積極的に果たすように壁面コーティングを適当な量に制御す
ることができる。さらに、本発明は、このような処理システムをクリーニングす
る方法に関する。
【0002】
【従来技術】
高密度プラズマ処理システムが、プラズマエッチング並びに/もしくは膜の堆
積のために使用されている。このシステムのプラズマ源の異なる部品は、プラズ
マエッチング並びに堆積処理の間に発生され、凝縮可能な種によりコーティング
される、このプラズマ源の種々の表面に堆積される種は、種々の方法でプラズマ
源の気体化学に影響を及ぼす。例えば、ある堆積ゲッター(即ち、ガスからの解
離)は、プラズマからの種と反応して、エッチング並びに堆積速度を遅くする。
プラズマ源の表面の他の種は、これらは凝縮されるけれども、また、これら種が
プラズマ源の表面から脱着され得るような充分に高い蒸気圧となり、プラズマの
ガス組成を変えてしてしまう。また、壁に吸着されるガス種は、度々ラジカルと
なり、既存の壁のコーティングと重合して、かなり異なる蒸気圧並びに/もしく
は反応度で種を発生させる。また、壁に凝縮されたガス種は、プラズマからの電
子、イオンもしくはフォトン束によりクロス結合されて、かなり異なる蒸気圧並
びに/もしくは反応種を発生させる。ゲッター、凝縮された種の脱着、もしくは
他の手段により、特に、不規則な方法でのプラズマのガスの組成の変化は、全処
理の制御のロスとなる。かくして、これら処理によるガス組成の変化は、“処理
ガスに対する壁の貢献(wall contribution to proc
ess gas)”による変化として一般に説明されている。
【0003】 粒子の汚染は、集積回路が複雑になり、これら回路のサイズが小さくなるのに
従って、大きな問題となってきている。クリーンルームは、1990年来、大気
による汚染を既にかなり減じているけれども、このときまでに、処理ツールやプ
ラズマ自体が粒子汚染に大きく関わっていたことが認識されていた。Selwy
n et al.,Appl.Phys.Lett,57(18)1876−8
(1990)を見よ。プラズマ処理体は、かなりの汚染源として既に認識されて
いる。Selwyn et al.,J.Vac.Sci.& Technol
.A,7(4)2758−65(1989)、Selwyn et al.,J
.Vac.Sci.& Technol.A,9(5)2817−24(199
1(a))、並びにSelwyn et al.,J.Vac.Sci.& T
echnol.A,9(6)3847−92(1991(b))を見よ。199
0年以来、浮遊粒子が、エッチング(Selwyn et al.,1989を
見よ)、堆積(Spears et al.,IEEE Trans.Plas
ma Science,PS−14(2)179−87(1986)を見よ)、
並びにスパッタリング(Jellum et al.,J.Appl.Phys
.,67(10)6490(a))を見よ)に使用されるプラズマの中のプラズ
マとシースとの界面で観測されている。これら浮遊した粒子は、プラズマ内で負
に帯電され(Wu,et al.,J.Appl.Phys.,67(2)10
51−4(1990)並びにNowlin,J.Vac.Sci.& Tech
nol.A.9(5)2825−33(1991)を見よ)、プラズマとシース
との界面に捕獲されるようになる(Selwyn et al.,1990 並
びにCarlile,Appl.Phys.Lett.,59(10)1167
−9(1991)。
【0004】 プラズマが消勢されると、粒子は、ウエハの表面に落下して、この表面を汚染
する。1992年以来、全ウエハ汚染の70%ないし80%が、製造装置の中で
使用されているツールと処理体とによるものであると、信じられている。これら
プラズマ処理体は、中でも、近代的な製造ラインでは“最悪の汚染源”である。
Selwyn,J.Vac.Sci.& Technol.A,10(4)10
53−9(1992)を見よ。
【0005】 従って、大きな注意が、プラズマ処理体中での粒子の発生の制御と反応炉のク
リーニングとに向けられている。しかし、壁への堆積の減少と反応炉のそこでの
クリーニングとの両方に対するチャンバの設計と処理パラメータとの影響が、考
えられている(Vogt et al.,Surface & Coating
s Technol.,59(1−3)306−9(1993)を見よ)。また
、自己クリーニングツール設計による粒子の汚染の制御が説明されている(Se
lwyn et al.,1992を見よ)。フッ化反応性ガスを使用するその
場所でのクリーニング処置の最適化が、最近になって考えられている。Sobe
lewski et al.,J.Vac.Sci.& Technol.B,
16(1)173−82(1998)、Ino et al.,Japanes
e J.Appl.Phys.33 Pt.1(1B)505−9(1994)
並びにIno et al.,IEEE Trans.on Semicon.
Mfg.,9(2)230−40(1996)を見よ。
【0006】 Yoneda(米国特許No.4,430,547)は、中で電極が埋め込ま
れた電気抵抗ヒータもしくは循環加熱流体により加熱される平行平板プラズマ装
置を開示している。また、Benzing(米国特許No.4,657,616
)並びにKrucowski(米国特許No.4,786,392)は、クリー
ニングが必要になったときに処理チャンバ内に配置されなければならなず、また
クリーニングが完了したときに除去される不便な1セットの着脱可能な装着物を
開示している。また、Benzing(米国特許No.4,786,352)は
、誘電体処理チャンバの外面に設けられた複数の電極を有し、これら電極間にR
F電圧を印加することにより、そこでのクリーニングのためにチャンバ内にプラ
ズマを発生させるようにしている。また、Hayes(米国特許No.4,79
5,880)は、誘導加熱部材としてチューブ炉の加熱コイルを使用して、クリ
ーニング用のプラズマをチューブ内に発生させるようにしている。このクリーニ
ングは、炉動作温度で行われる。また、Law(米国特許No.4,960,4
88)は、局所チャンバの自己エッチングと広い領域の自己エッチングとの能力
を有する単一ウエハ用処理チャンバを開示している。これら両方のエッチングは
、チャンバが動作される広範囲の圧力と可変電極スペースとにより可能となって
いる。また、Aoi(米国特許No.5,084,125)は、処理セクション
とクリーニングセクションとを有する処理チャンバを開示している。これでは、
移動可能な壁が処理セクションとクリーニングセクションとに交互に位置される
。これは、クリーニングのために処理の中断とチャンバの解体とが不要である。
また、Moslehi(米国特許No.5,252,178並びにNo.5,4
64,499)は、複合領域並びに複合電極プラズマ処理システムを開示してい
る。この装置は、連続もしくは多様なフォーマットで複合プラズマ電極の駆動を
果たしている。処理ガス流が、間欠的に停止され、クリーニングガスが、クリー
ニング処理が生じるように導入され得る。また、Sekiya(米国特許No.
5,269,881)は、平行平板型の処理チャンバの内面を、互いに絶縁され
た複数の導電性電極で覆うようにしている。これでは、高周波電界が、そこでの
クリーニングを果たすように、種々の電気的形態で電極間に連続して印加される
。また、Blalock(米国特許No.5,514,246並びにNo.5,
647,913)は、チャンバの壁の内面と、プラズマを励起させるために使用
される誘導コイルとの間に配置された容量結合電極を有する誘導結合型プラズマ
反応炉を開示している。これでは、チャンバ内で容量結合電極と誘電体との間に
誘起されるRF電界がクリーニング用のプラズマを発生させる。また、Sand
hu(米国特許No.5,523並びにNo.5,599,396)は,中の容
量結合電極がBlalockのようにクリーニングを助長するように使用される
誘導結合型プラズマ反応炉を開示している。しかし、これでは、Blalock
とは異なって、電極は、処理チャンバの内壁と外壁との間の空間に充填され、チ
ャンバのクリーニングの間のみ反応する導電性液体もしくは導電性重合体を有す
る。
【0007】 Grewal(米国特許No.5,597,438)は、3つの互いに独立し
て制御される電極を有するエッチングチャンバを開示している。ここでは、誘導
結合と容量結合との両方が利用されている。また、Usami(英国特許出願N
o.2,308,231)は、中の電極が平坦ではない容量的に励起される反応
炉を開示している。これは、サンプルホルダーが印加される電極か接地される電
極かの状態で、クリーニング用プラズマを励起することによりクリーニングされ
得る。これの一実施の形態においては、2つの周波数の電力がクリーニング処理
の間に使用されている。
【0008】
【発明が解決しようとする課題】
プラズマエッチングの間、エッチング速度は、制御されない方法で変化し、エ
ッチングの均一性は、処理(プロセス)ガスに対する壁の貢献の存在で非常に減
じられ得る。処理堆積の間、堆積速度と、堆積された膜の組成と、膜堆積の均一
性とは、全て、処理ガスに対する壁の貢献により、不均一で制御されない方法で
悪影響を受ける。従って、これらプラズマ源の表面での化学現象は、予め制御可
能ではないので、プラズマ源を使用して実施される全体のプラズマは、予め制御
されていない。処理ガスに対する壁の貢献での変化は、単一のウエハの処理の間
、もしくは、ウエハ間でこのような変化が生じるような長時間に渡って生じる。
【0009】 エッチングもしくは堆積の間、凝縮を最小にするために、堆積並びにエッチン
グ用処理チャンバの壁を加熱することが知られている。堆積反応のときに、化学
反応が生じるのに充分に熱くなった加熱表面は、体積するように望まれた材料の
堆積速度を早くするが、化学反応に達するのには不十分な加熱は、堆積流失を促
進させる。しかし、好ましくない種が吸収された壁の揮発性化合物からのプラズ
マ種に反応炉のり全ての表面がさらされながら、これら全ての表面を加熱前述し
た我々の知識にはない。さらに、このことは、ESRF源とは異なる反応炉で不
可能であった。
【0010】 Applied Material of Santa Clara.CAは
、フッ素化学反応を利用し,反応炉のクリーニングの間ではなく、エッチング反
応反応の間に、フッ素ラジカル(F* )をフッ素分子(F2 ) に変換するように
加熱されたシリコン上プレートを有するエッチング反応炉を販売している。幾つ
かの他の既知のシステムは、処理の間、個々の表面での化学的荷電を制御するこ
とを試みている。これら既知のシステムは、例えば、アルミナ製リングを電極の
外面に装着させることにより、ポリマーが電極上に形成されるのを防止している
、“ドライエッチング”の名称の特願昭61−289634号、加熱水に基づい
たヒータが、エッチング状態を改善するようにシリカ製チャンバに装着されてい
る、“エッチング装置”の名称の特願昭62−324404号、並びに、電気ヒ
ータが表面に反応生成物が堆積しないようにチャンバに装着されている、“エッ
チング装置”の名称の特願昭63−165812号に開示されている。これら出
願の夫々の内容は、参照としてここに含まれている。しかし、選定された表面の
みが化学反応を制御している場合には、信頼性良く制御されていない残りの表面
は、これら対応するシステムへの処理ガスに対する壁の貢献の全体の作用を制御
する。
【0011】 既知のシステムにおける他の問題は、プラズマエッチングを使用する源内の表
面の遅いクリーニングである。実際、多くの反応炉において、クリーニング時間
は、特に、熱い堆積材料にとっては、処理時間よりもかなり長い。このような反
応炉は、本質的に、非常にコスト的に有効ではない。
【0012】 例えば、以下の特許に開示されているように、幾つかの既知のシステムは、ク
リーニングの間の個々の部品への電気的バイアスを利用している。“Plasm
a Processing Apparatus and Plasma Cl
eaning Method”の名称でSekiya et al に付与され
た米国特許No.5,269,881では、高電圧が、クリーニングの間、3つ
の電気的に絶縁された導電体ブロックの各々に独立して印加される。また、“P
arallel Flat Board Type Dry Etching
Device”の名称の特願昭57−42131号では、電極の極性がスパッタ
リンとエッチングとで逆にされている。さらに、“Dry Cleaning
Method”の名称の特願昭60−59739では、高周波パワーがシリコン
膜を除去するために基板電極とクリーニング電極との間に印加されている。また
、“Semiconductor Manufacturing Equipm
ent”の名称の特願昭61−10239では、アノードプレートの接地自己バ
イアスが、プラズマクリーニング並びにエッチング処理の間、省略されている。
これら出願の各々の内容は、参照によりここでは含まれている。しかし、上述し
たように、表面化学的性質の制御に対しては、選定された表面のみがクリーニン
グされるのであれば、ほとんど信頼性のあるクリーニングがされていない残りの
面は、これらの対応するシステムの全体に渡るクリーニングを制御していない。
【0013】 本発明の第1の目的は、既知のプラズマ処理システムの少なくとも1つの欠点
を配慮することである。 本発明の第2の目的は、高密度プラズマ源の全ての表面での化学的性質を制御
する方法を提供することである。 本発明の第3の目的は、プラズマ源のクリーニング時間を短くするプラズマ処
理システムをクリーニングするための改良された方法を提供することである。 本発明のこれら目的並びに他の目的は、プラズマ源内の各表面の温度と電気的
バイアスとの両方を調節する機能を与えることにより、達成される。この調節は
、各表面で生じる化学反応を制御する助けとなる材料をプラズマ源内の全ての表
面に対して使用することにより、可能となる。
【0014】 本発明のより完全な評価と多くの得られる効果とは、特に、添付の図面と関連
して考察される、以下の詳細な説明に基づいて容易に明らかになるであろう。
【0015】
【課題を解決するための手段及び作用】
プラズマ処理システムは、内壁と外壁とを備えた処理チャンバと、この処理チ
ャンバの内壁に熱的に結合された加熱部材と、静電シールドと、この静電シール
ドと前記加熱部材との間に配置されたバイアスシールドとを具備する。
【0016】
【発明の実施の形態】 同じ参照符号が同じもしくは対応した部品に、幾つかの図を通して付されてい
る図面をにおいて、図1は、プラズマを使用して基板を処理するための円錐形処
理(プロセス)チャンバを概略的に示す。この処理チャンバは、高さよりも幅が
広くなっており、外壁と内壁との間で囲まれた加熱部材の蛇行コイル110を露
出するように外壁の一部が切欠されて示されている。図2は、加熱部材の蛇行コ
イル110の全てを示すように外壁を全て除去して、上方から見た同処理チャン
バを示す。これら蛇行コイル110は、チャンバの壁と直接に接触している。こ
のヒータの幾何学的形状は、重大な電流路の発生を防止するように、チャンバの
壁に対して上方並びに下方に延びるようにデザインされている。このことは、処
理に印加される誘導RFパワーをヒータ部材がシールドしないようにするために
重要である。この処理チャンバは、図5に示されるようなESRF源の中に組み
入れられている。
【0017】 図3は、処理チャンバの断面図であり、外壁100と内壁105とを示す。こ
の内壁105は、このような形状で使用され得る誘電材料で形成され得る。この
ような形状は、円錐に限定されるものではなく、ドーム形、矩形、円筒形等でも
良い。一般に、好ましい材料は、溶融石英(SiO2 )並びにアルミナAl2 3 である。この処理チャンバの内部には、真空状態にされる処理領域107があ
る。また、この処理チャンバは、静電チャック120を収容している。この静電
チャック120は、このチャックの温度を制御するための加熱並びに冷却装置1
25に接続されている。この加熱並びに冷却装置125は、加熱もしくは冷却さ
れ得るヘリウムガス源であり得、処理の間、基板の後面で急速に流れる。クリー
ニングの目的のためには、ヘリウムが基板とチャック120との間に熱伝導を単
に与えるようにすることで充分である。しかし、処理のためには、このチャック
120は、RF電源(図示せず)からのRFパワーを受けるRF結合部材123
に取着されている。かくして、このチャックは、全ての動作の間、バイアス並び
に/もしくは温度制御がなされ得る。同様に、容量結合RFパワーが、チャンバ
の上部に設けられた丸いガス射出プレート(図示せず)に印加され得る。かくし
て、これは、容器もしくはチャック120の円筒部分の内壁105に対してクリ
ーニング並びに/もしくは温度制御され得る。
【0018】 図4は、処理チャンバの第2の断面図であり、内壁105と外壁110との間
に挟まれたチャンバの異なる部品を示す。加熱部材115の蛇行コイル110は
、内壁105とバイアスシールドとの間に配置されている。スロットが形成され
たバイアスシールドのバイアスシールド部材130は、接地とRFパワー源(図
示せず)とに制御可能に結合されている。これらシールド部材130は、プラズ
マシステムが処理モードで動作しているときには接地されている。しかし、バイ
アスシールド部材130がRF電源に接続されたクリーニングの間では、RFパ
ワーは、脈動する負のDCバイアスを内壁105に発生させる源の誘電性壁を介
して容量的に結合される。このバイアスは、多くの反応性イオンを内壁105に
導くために使用され得る。使用されるガス種、そして、使用されるイオン種を注
意深く選ぶことにより、内壁105での実際の凝縮物を無くし得る。
【0019】 さらに、静電シールド部材135が、前記バイアスシールド部材130と外壁
100との間に介在されている。これら静電シールド部材135と、バイアスシ
ールド部材130と、蛇行コイル110とは、誘導プラズマ装置の分野でのこれ
らの影響を最小にするために図示されるように全てアラインメントされている。
前記バイアスシールド部材130は、加熱もしくは冷却され得る流体の中に浸漬
され得る。凝縮物の脱着を促進することが望まれる場合には、流体は、加熱され
得る。一方、イオン、ラジカルもしくは他の種との内壁の反応の促進、並びに/
もしくは多量のパワーの発散が望まれる場合には、流体は、冷却され得る。Wa
yne L. Johnson(本出願の発明者)に1993年8月10日に付
与された米国特許No.5,234,529の要旨である静電的にシールドされ
た高周波(ESRF)源は、唯一知られた高密度プラズマ源である。これには、
加熱もしくは冷却の能力を加えること、並びに/もしくは源の全ての表面をバイ
アスすることが可能である。この特許の内容は、参照によりここでは含まれてい
る。
【0020】 さらなる静電シールド部材は、“Apparatus and Method
for Adjusting Density Distribution
of a Plasma”のタイトルで1997年10月15日に、出願され、
出願番号が60/061,856で代理人整理番号が2312−544−6PR
OVの先願、並びに“Apparatus and Method for U
tilizing a Plasma Density Gradient t
o Produce a Flow of Particles”のタイトルで
1997年10月15日に、出願され、出願番号が60/061,857で代理
人整理番号が2312−547−6PROVの先願に、それぞれ開示されている
。これら特許の内容は、夫々参照によりここでは含まれている。また、参照によ
りここで含まれているものし、代理人整理番号が2312−756−6YA W
O並びに2312−757−6YA WOのもとで1998年10月15日に出
願された対応したPCT出願である。
【0021】 図6は、図3に示されるような処理チャンバのチャンバ壁の円形部分の展開図
である。プラズマ領域107は、処理チャンバ壁の部材の内部にあり、オリエン
テーションのために示されている。各々の層は、処理チャンバの内方から順次以
下に説明される。展開された部分は、溝を備えたセラミック製のチューブ140
を有する。これら溝には、蛇行コイル110が嵌め込まれている。蛇行コイル1
10は、セラミック製の保護ポッテング145により覆われている。有機材の熱
バリア150が、セラミック製のポッティング145と加熱されたチャンバとを
、誘導コイルを囲んでいる外誘電体冷却流体60から絶縁させている。この有機
材の熱バリア150は、冷媒に対して耐性がなくてはならない。例えば、好まし
い冷却流体160は、フッ素(fluorinert)であり、このため、この
バリア150は、フッ素に耐性のある材料でなければならない。
【0022】 図7は、処理チャンバ全体を円形にした場合に成形されるような加熱部材11
5の蛇行コイル110を示す。この加熱部材は、一連のサブ部材に、例えば、図
8に示されるような3つのサブ部材に分割され得る。これらサブ部材は、処理工
程とクリーニング工程との両方で使用され得る。このことは、パワー供給に対し
て優れた対応性と加熱の優れた均一性とを与える。代表的には、個々のサブ部材
は、同じである。また、加熱部材が優れた熱伝導性のための高い耐性を有するよ
うに加熱部材を製造することが重要である。さらに、温度に対して耐性を有する
材料が使用される場合には、加熱部材の温度は、この加熱部材の抵抗を測定する
ことにより、容易に決定され得る。また、この加熱部材は、別々に製造されて上
述したように溝の中に嵌め込まれるか、加熱部材は、スパッタリングもしくは蒸
着により形成された膜を使用してチャンバ上に直接製造され得る。いずれの例の
場合にも、処理チャンバを均一に加熱できることが重要である。
【0023】 かくして、プラズマ堆積もしくはエッチング用の反応炉の全内面が、温度制御
(加熱もしくは冷却)され得る、並びに/もしくはチャンバの内面にRFパワー
の容量結合によりバイアスされ得ることが判る。高密度源(電子サイクロトロン
共鳴、ヘリコン、トランスフォーマ結合パワー等)の他の既知の形態は、この可
能性がない。これらの場合には、いずれも、パワーは、処理チャンバの円筒壁を
通して容量結合されて、これら領域のクリーニングを果たすことができない。あ
る場合には、円筒チャンバの内壁にパワーを結合させるためにRF電極が占めな
ければならないスペースを占める磁界発生用のコイルが必要である。他の場合に
は、チャンバの円筒壁は、金属(代表的には接地されている)であり、これを通
して、RFパワーは結合されない。多くは同じ理由で、ESRF高密度源を除い
て、全てのチャンバの円筒部分の内壁を加熱もしくは冷却するのが不可能もしく
は難しい。これに関する本発明の特徴は、誘電体壁と、内壁内にありバイアス可
能なシールドと、壁の外側面が容易に加熱もしくは冷却され得るという事実との
組み合わせである。内部加熱部材を使用することにより、処理ガスに対する複数
のポリミド(polymide)壁の貢献が減じられ、かくして、クリーニング
処理を果たす。このクリーニング処理は、少ない回数のメンテナンスでなされる
【0024】 エッチング装置と堆積装置との両方でのクリーニングのための処置は、基本的
には同じである。勿論、使用されるガスは、どのような材料が内面から除去され
るかによって、異なるであろう。一般的に、処置は、図9のフローチャートに従
ってなされる。クリーニングの第1の工程において、バイアス電圧がバイアスシ
ールドに印加される。この使用されるバイアス電圧は、表面を物理的にスパッタ
リングしてしまうような大きさではない。スパッタリングは、壁の所の種を再配
分し、壁がクリーニングされたときに、壁材料でチャンバを汚染する。これらの
全ての除去は、化学的に生じなければならない。この化学的クリーニングは、汚
染種は、排気システムによりチャンバから除去される。このことは、クリーニン
グのために使用されるガス圧力が高くなければならない(>100 mTorr
)を意味する。
【0025】 第2に、チャンバの全ての内面は、再凝縮を最小にするために加熱されるべき
である。さらに、表面は、一方の面から除去された凝縮物が他方の面に堆積する
機会を少なくするように、加熱される。汚染の保存則は、“何かを汚さなければ
、何かを綺麗にすることはできない。しかし、何かを綺麗にしなければ、何かを
汚すことはない”ことを教えている。これは、このような法則の適用である。
【0026】 かくして、表面は、実際にクリーニングされる。クリーニングの順序は、重要
である。最大の表面が、最初にクリーニングされる。代表的には、これは、円筒
真空容器の内壁105である。そして、次に大きい面が処理される。代表的には
、これは、ガス射出プレートである。このクリーニング処理は、全ての面がクリ
ーニングされるまで、次第に小さい表面へと続けて行われる。このサイクルは、
クリーニング精度を高めるために繰り返される。代表的には、この処理は、基板
用チャックがクリーニングされたときに、終了する。
【0027】 表面の加熱の状態においても、再凝縮は、ある場合にはおこり得る。このよう
な場合、異なる表面の繰り返しのクリーニングが必要である。繰り返しのクリー
ニングが必要な場合には、同じ順序で、即ち、大きい表面から小さい表面へとク
リーニングされるべきである。
【0028】 また、チャンバの壁とガス射出プレートとを構成する材料は、重要である。例
えば、溶融石英の壁は、フッ素ラジカル並びにイオンと反応する。例えば、エッ
チング処理に使用される反応性ガスがF2 の場合、フッ素ラジカルもしくはイオ
ンは、ガスの化学的性質を変えるであろう。これは、好ましい場合も好ましくな
い場合もある。好ましくなければ、フッ素化種と反応しないアルミナが使用され
べきである。材料は、壁で生じる化学反応の必要性に基づいて選定される。
【0029】 壁での化学的性質の制御は、次の3つの影響の組み合わせであると考えられる
。(1)触媒作用的な助長反応。(2)イオン、ラジカル、エネルギー中性物に
よる照射。(3)ポンピングもしくはゲッターでの壁材料による脱着。これら影
響の制御は、処理全体に渡って好ましい制御を果たさせる。
【0030】 明らかに、本発明の数々の変形と変更とは、上記技術を鑑みて可能である。か
くして、請求項の範囲内で、本発明は、特に記載した以外で実施され得ることは
理解される。
【図面の簡単な説明】
【図1】 図1は、本発明に関わるプラズマ処理チャンバの側面図である。
【図2】 図2は、本発明に関わるプラズマ処理チャンバの上面図である。
【図3】 図3は、上から下にかけて切断されている、本発明に関わるプラズマ処理チャ
ンバの断面図である。
【図4】 図4は、チャンバの上面並びに下面に平行に切断されている、本発明に関わる
プラズマ処理チャンバの断面図である。
【図5】 図5は、RFパワー源と誘導体とを備えたプラズマ処理チャンバの断面図であ
る。
【図6】 図6は、図3で円筒形となった処理チャンバの壁の展開図である。
【図7】 図7は、処理チャンバを囲んだ加熱部材の概略図である。
【図8】 図8は、図7の加熱部材の一部の図である。
【図9】 図9は、本発明に係わるクリーニング処理のフローチャートである。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成11年6月14日(1999.6.14)
【手続補正1】
【補正対象書類名】図面
【補正対象項目名】図5
【補正方法】変更
【補正内容】
【図5】
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H05H 1/46 H01L 21/302 B Fターム(参考) 3B116 AA32 BB89 BC01 CD21 4K030 CA04 CA12 DA06 FA01 FA04 GA02 KA08 KA12 KA20 KA22 KA30 KA39 5F004 AA01 AA15 AA16 BA20 BB22 BB25 BB26 BB28 BB29 BB32 DA00 DA22 5F045 AA08 BB15 DP03 DQ05 EB06 EC05 EH11 EH20 EJ03 EJ04 EJ10 EK07 EK10 EM05

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 内壁と外壁とを備えた処理チャンバと、 この処理チャンバの内壁に熱的に結合された加熱部材と、 静電シールドと、 この静電シールドと前記加熱部材との間に配置されたバイアスシールドとを具
    備するプラズマ処理システム。
  2. 【請求項2】 プラズマを発生させるように、前記処理チャンバ内のガスに
    RFパワーを誘導結合させるための誘導結合部材をさらに具備する請求項1のプ
    ラズマ処理システム。
  3. 【請求項3】 前記誘導結合部材は、ヘリカルコイルである請求項2のプラ
    ズマ処理システム。
  4. 【請求項4】 前記加熱部材は、前記誘導結合ブサイにより与えられるRF
    パワーのシールドを避けるように蛇行した加熱部材である請求項2のプラズマ処
    理システム。
  5. 【請求項5】 温度が調節され、電気的にバイアスされた基板ホルダーをさ
    らに具備する請求項1のプラズマ処理システム。
  6. 【請求項6】 バイアスシールドと処理チャンバの内壁に結合する加熱部材
    とを備えた処理チャンバを有するプラズマ処理システムをクリーニングする方法
    であり、この方法は、 前記内壁にパルス状の負のDCバイアスを生じさせるように前記バイアスシー
    ルドにバイアス電圧を印加する工程と、 前記加熱部材を使用して、処理チャンバの前記内壁を加熱する工程と、 前記処理チャンバの中にクリーニングガスを供給する工程と、 前記内壁のうち大きいものから小さいものへと順次、最小の内壁のクリーニン
    グの終了まで、各内壁をクリーニングする工程とを具備する方法。
  7. 【請求項7】 前記クリーニング工程の終了の後に、処理チャンバのクリー
    ニング状態を測定するための工程をさらに具備する請求項6の方法。
  8. 【請求項8】 前記測定するための工程が、処理チャンバが充分にクリーニ
    ングされていないと決定したときに、新たな基板が加えられる前に処理チャンバ
    をさらにクリーニングする少なくとも1回の付加時間で前記クリーニングするた
    めの工程を繰り返す工程をさらに具備する請求項7の方法。
  9. 【請求項9】 前記バイアス電圧を印加する工程と、前記内壁を加熱する工
    程とは、内壁への堆積を制御するように、処理の間、組合わされてなされる請求
    項6の方法。
JP2000520917A 1997-11-14 1998-11-13 プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法 Pending JP2001523887A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US6579497P 1997-11-14 1997-11-14
US60/065,794 1997-11-14
PCT/US1998/023248 WO1999025494A1 (en) 1997-11-14 1998-11-13 All-surface biasable and/or temperature-controlled electrostatically-shielded rf plasma source

Publications (1)

Publication Number Publication Date
JP2001523887A true JP2001523887A (ja) 2001-11-27

Family

ID=22065164

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000520917A Pending JP2001523887A (ja) 1997-11-14 1998-11-13 プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法

Country Status (6)

Country Link
US (1) US6425953B1 (ja)
EP (1) EP1030745A4 (ja)
JP (1) JP2001523887A (ja)
KR (2) KR100557514B1 (ja)
CN (1) CN1097491C (ja)
WO (1) WO1999025494A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014523071A (ja) * 2011-06-21 2014-09-08 エフ・イ−・アイ・カンパニー 流体高電圧絶縁に関連して使用される固体媒質中への電極の封入
JP2016504713A (ja) * 2012-11-19 2016-02-12 ユーティー−バッテル・エルエルシー 近接近の間接暴露を利用する、ポリマー材料の大気圧プラズマ処理
JP2022544421A (ja) * 2019-12-31 2022-10-18 江蘇魯▲もん▼儀器有限公司 セラミック給気部に無線周波数を接続した洗浄装置

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100557514B1 (ko) * 1997-11-14 2006-03-03 동경 엘렉트론 주식회사 플라즈마 처리시스템의 청정방법
TW518686B (en) * 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
TW584905B (en) 2000-02-25 2004-04-21 Tokyo Electron Ltd Method and apparatus for depositing films
US6811611B2 (en) * 2000-03-02 2004-11-02 Tokyo Electron Limited Esrf source for ion plating epitaxial deposition
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP2002299315A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
WO2003012567A1 (en) 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
US6946054B2 (en) 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
US7163603B2 (en) * 2002-06-24 2007-01-16 Tokyo Electron Limited Plasma source assembly and method of manufacture
JP4884180B2 (ja) * 2006-11-21 2012-02-29 東京エレクトロン株式会社 基板処理装置および基板処理方法
CN101612622B (zh) * 2008-06-23 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 用于减少腔室颗粒沉积的方法、系统及半导体处理设备
KR100926778B1 (ko) * 2008-09-10 2009-11-17 주명호 호제 조성물의 제조방법
CN101872733B (zh) * 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP2011100865A (ja) * 2009-11-06 2011-05-19 Hitachi High-Technologies Corp プラズマ処理方法
EP2341525B1 (en) 2009-12-30 2013-10-23 FEI Company Plasma source for charged particle beam system
US8642974B2 (en) 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
JP6832171B2 (ja) 2017-01-24 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置のチャンバ本体の内部のクリーニングを含むプラズマ処理方法
TWI825711B (zh) * 2021-06-25 2023-12-11 美商得昇科技股份有限公司 電漿處理設備

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US61856A (en) 1867-02-05 Enos s
US61857A (en) 1867-02-05 Improvement in top-drivers or spinners
JPS5742131A (en) 1980-08-27 1982-03-09 Mitsubishi Electric Corp Parallel flat board type dry etching device
JPS6110239A (ja) 1984-06-25 1986-01-17 Nec Kansai Ltd 半導体製造装置
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5523261A (en) * 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
KR100557514B1 (ko) * 1997-11-14 2006-03-03 동경 엘렉트론 주식회사 플라즈마 처리시스템의 청정방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014523071A (ja) * 2011-06-21 2014-09-08 エフ・イ−・アイ・カンパニー 流体高電圧絶縁に関連して使用される固体媒質中への電極の封入
JP2016504713A (ja) * 2012-11-19 2016-02-12 ユーティー−バッテル・エルエルシー 近接近の間接暴露を利用する、ポリマー材料の大気圧プラズマ処理
US10138305B2 (en) 2012-11-19 2018-11-27 Ut-Battelle, Llc Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure
JP2022544421A (ja) * 2019-12-31 2022-10-18 江蘇魯▲もん▼儀器有限公司 セラミック給気部に無線周波数を接続した洗浄装置
JP7296678B2 (ja) 2019-12-31 2023-06-23 江蘇魯▲もん▼儀器股▲ふん▼有限公司 セラミック給気部に無線周波数を接続した洗浄装置

Also Published As

Publication number Publication date
CN1278750A (zh) 2001-01-03
EP1030745A4 (en) 2006-12-13
KR20050112136A (ko) 2005-11-29
EP1030745A1 (en) 2000-08-30
WO1999025494A1 (en) 1999-05-27
KR20010032091A (ko) 2001-04-16
US6425953B1 (en) 2002-07-30
KR100557514B1 (ko) 2006-03-03
KR100557515B1 (ko) 2006-03-03
CN1097491C (zh) 2003-01-01

Similar Documents

Publication Publication Date Title
JP2001523887A (ja) プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法
JP3411539B2 (ja) プラズマ処理装置及びプラズマ処理方法
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
KR102311575B1 (ko) 피처리체를 처리하는 방법
JP6199250B2 (ja) 被処理体を処理する方法
US5861601A (en) Microwave plasma processing apparatus and method
CN102867726A (zh) 具有减少聚合物沉积特性的等离子约束环组件
JPH10189296A (ja) 平行板電極プラズマリアクタ
KR980011810A (ko) 플라즈마 반응기에 유용한 탄화규소 화합물
JP2007149638A (ja) プラズマ生成方法及び装置並びにプラズマ処理装置
KR20160041778A (ko) 피처리체를 처리하는 방법
JP3583289B2 (ja) プラズマ処理装置及びプラズマ処理方法
EP0799557A1 (en) High frequency induction plasma method and apparatus
WO1999050886A1 (en) Contamination controlling method and plasma processing chamber
US6708700B2 (en) Cleaning of semiconductor processing chambers
CN111105973B (zh) 清洗方法及等离子体处理装置
JPH0813169A (ja) プラズマ処理装置
JP4193255B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3712898B2 (ja) プラズマエッチング装置
JP4073235B2 (ja) プラズマ処理装置用のプレート
JP3993493B2 (ja) プラズマエッチング装置
JP2004214609A (ja) プラズマ処理装置の処理方法
CN115497801A (zh) 基板处理装置及基板处理方法
JP2000150487A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090331

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090721