CN1278750A - 全部表面可偏压和/或温度控制的静电屏蔽射频等离子源 - Google Patents

全部表面可偏压和/或温度控制的静电屏蔽射频等离子源 Download PDF

Info

Publication number
CN1278750A
CN1278750A CN98811137A CN98811137A CN1278750A CN 1278750 A CN1278750 A CN 1278750A CN 98811137 A CN98811137 A CN 98811137A CN 98811137 A CN98811137 A CN 98811137A CN 1278750 A CN1278750 A CN 1278750A
Authority
CN
China
Prior art keywords
plasma
cleaning
treatment chamber
heating unit
bias voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98811137A
Other languages
English (en)
Other versions
CN1097491C (zh
Inventor
韦恩·L·约翰松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1278750A publication Critical patent/CN1278750A/zh
Application granted granted Critical
Publication of CN1097491C publication Critical patent/CN1097491C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning In General (AREA)

Abstract

一种等离子体处理系统和方法,它们用来提供更清洁和更易控制的环境以便处理基片例如半导体晶片。该等离子体处理系统包括:一个处理室,该处理室包括一个内壁和一个外壁;与处理室内壁热耦合的加热元件;偏压屏蔽件;和静电屏蔽件。处理系统还包括围绕处理室的感应线圈,该感应线圈用于使射频电源与处理室内的气体耦合,以便产生等离子体。射频电源还可作用在晶片座上,例如也可加热或冷却的静电吸盘。清洁该等离子体处理系统的方法包括向偏压屏蔽施加偏压,利用加热元件加热处理室,以及清洁内表面,其中清洁顺序是从最大的表面开始依次清洁到尺寸最小的表面。

Description

全部表面可偏压和/或温度控制的静电屏蔽射频等离子源
本申请涉及并要求以1997年11月14日申请的序号为60/065,794的美国临时申请文件为优先权文本。该临时申请文件的内容在此作为参考。
本发明涉及一种改进的等离子体处理系统,特别是这样一种等离子体处理系统,即在该等离子体处理系统中,可向系统的全部表面施加电偏压和/或对其加热或冷却,以提高系统的总体清洁度。本发明还可控制形成适当量的壁涂层以便对该处理产生积极影响。本发明还涉及这种处理系统的清洁处理方法。
高密度等离子体处理系统用于等离子蚀刻和/或淀积薄膜。系统的等离子源的不同部分涂敷有可冷凝物质,该可冷凝物质在等离子蚀刻和淀积处理期间产生。在源的不同表面上淀积的物质以不同方式影响等离子源的气体化学性质。例如,一些淀积物从等离子体中吸收(即从气体中去除)活性物质,这样降低了蚀刻和淀积率。在源表面上的其它物质尽管是可冷凝的,也具有足够高的蒸汽压力,以便从源表面解吸附,从而改变等离子体的气体成分。在壁上吸附的气体物质通常是基团,并且与现有的壁涂层聚合,以产生具有肯定不同的蒸汽压力和/或反应性的物质。通过等离子体的电极、离子或光子通量,在壁上冷凝的气体物质也可交联,以产生具有更加不同的蒸汽压力和/或反应性的物质。特别是以未调节方式,通过吸收、解吸附冷凝的物质或通过任何其它方法,因此,等离子体气体成分变化,从而导致失去对总体处理的控制。这里,由任何上述处理导致气体成分的改变以下通常被描述为由于“壁对处理气体所起的作用”造成的改变。
由于集成电路的复杂性增加和这些电路的形体尺寸减小,因此粒子污染已成为一个日益严重的问题。虽然到1990年为止,利用清洁间已经大大减少了由于外界大气产生的污染,当时通常认为处理工具和处理过程本身是粒子污染的主要来源。参见Selwyn等的Appl.Phys.Lett,57(18)1876—8(1990)。等离子体处理器已经被确定为主要的污染源。见Selwyn等的真空科学与技术杂志,A,7(4)2758—65(1989);Selwyn等,1990;Selwyn等的真空科学与技术杂志,A,9(5)2817—24(1991(a));和Selwyn等的真空科学与技术杂志,A,9(6)3487—92(1991(b))。到1990年为止,已经观察到悬浮粒子,该悬浮粒子位于等离子体内的等离子体/等离子体包层的交界处,该等离子体用来蚀刻(见Selwyn等,1989),淀积(见Spears等,电气与电子工程师协会学报,等离子科学,PS—14(2)179—87(1986))和溅射(见Jellum等,应用物理杂志,67(10)6490—6(1990(a)))。这些悬浮粒子在等离子体中成为负电荷(见Wu等,应用物理杂志,67(2)1051—4(1990)和Nowlin,真空科学与技术杂志,A,9(5)2825—33(1991)),并且在等离子体/等离子体包层的交界处被捕获(Selwyn等,1990和Carlile,应用物理Lett.,59(10)1167—9(1991))。
当等离子体衰减时,粒子可落到晶片表面上,从而使晶片受到污染。到1992年为止,人们相信晶片总污染的70%到80%是由工具和制造装置时的处理引起,并且等离子体处理器是现代生产线中“最脏”的工具。见Selwyn,真空科学与技术杂志,A,10(4)1053—9(1992)。
结果,人们将注意力主要集中在直接控制等离子体处理器中粒子的产生和这种反应器的清洁。然而,还考虑到处理参数和在壁淀积减少和原地反应器清洁两方面的处理室设计(见Vogt等,表面与涂布技术,59(1—3)306—9(1993));并且已经描述了通过设计自清洁工具来控制粒子的污染(见Selwyn et al.,1992)。最近已经考虑到利用氟化反应气体进行原地清洁过程的优化。见Sobelewski等,真空科学与技术学会杂志,B,16(1)173—82(1998);Ino等,日本应用物理杂志,33篇,1(1B)505—9(1994)和Ino等,电气与电子工程师协会学报,半导体制造,9(2)230—40(1996)。
Yoneda(4,430,547号美国专利)描述了一种原地自清洁平行板等离子体装置,其中通过嵌入的加热电阻丝或循环加热流体来对电极加热。Benzing(第4,657,616号美国专利)和Krucowski(第4,786,392号美国专利)描述了一套不方便的可移走的夹具,在必须清洁时,该夹具必须放置在处理室内部,当清洁完成时,该夹具必须移走。Benzing(第4786352号美国专利)包括在电介质处理室的外表面上的两个或多个电极,并且,通过在两个或更多个电极之间施加射频电压,从而在处理室内产生等离子体,以便原地清洁。Hayes(第4,795,880号美国专利)使用一个管式炉的加热线圈作为感应加热元件,通过该感应加热元件在管中产生一种清洁用等离子体。在炉的工作温度下完成清洁。Law(第4,960,488号美国专利)描述了一种单晶片处理室,该处理室能够局部室自蚀刻和广域室自蚀刻。由于处理室工作压力的范围较宽和电极间距可变化,这两种蚀刻都可能发生。Aoi(第5,084,125号美国专利)描述了一种处理室,该处理室具有一个处理部分和一个清洁部分。一个可移动的壁交替地布置在处理部分和清洁部分中。处理室没有必要拆卸和为了清洁而中断处理。Moslehi(第5,252,178号和第5,464,499号美国专利)描述了一个多区和多电极等离子体处理系统。该装置允许多等离子电极以连续或者多路传送形式激发。处理气流可以间歇方式中断并且引入清洁气体,这样进行原地清洁处理。Sekiya(第5,269,881号美国专利)包括具有多传导电极的平行板处理室的内表面,这些电极相互绝缘。随后一个高频电场施加在呈不同电排布方式的电极之间,以便原地清洁。Blalock(第5,514,246和第5,647,913号美国专利)描述了一种感应耦合等离子反应器,该等离子反应器包括一个电容耦合电极,该电容耦合电极位于室壁的外表面和用来激发等离子体的感应线圈之间。在电容耦合电极和处理室内的导体之间产生的射频场用于产生清洁用等离子体。Sandhu(第5,523,261和第5,599,396号美国专利)描述了一种感应耦合的等离子反应器,与Blalock中一样,其中的电容耦合电极用来方便清洁。但是,与Blalock相比,该电极包括一种导电液体或一种导电聚合物,该导电液体或导电聚合物充入处理室的内壁与外壁之间的空腔内,并且仅在处理室清洁期间激活。
Grewal(5,597,438号美国专利)描述了具有三个独立控制电极的蚀刻室。它采用了感应耦合与电容耦合。Usami(申请号为2,308,231的英国专利)描述了一种电容激活反应器,其中极板不平。利用样品座来激活清洁用等离子体,这样可以进行清洁,该样品座是供电电极或者是接地电极。在一个实施例中,在清洁过程中使用两种频率的电源。
在等离子体蚀刻期间,在壁对处理气体作用的情况下,蚀刻率以不受控制的方式变化,而且蚀刻的均匀性也大大降低。在等离子体淀积期间,由于壁对处理气体的作用,淀积率、淀积膜的成分和膜淀积的均匀性都以不均匀并且不受控制的方式受到影响。由于在这些源中表面的化学性质不可预先控制,结果,利用源实施的整体处理也不可预先控制。在单晶片的处理期间,或者在从晶片到晶片产生变化的一个较长时间内,壁对处理气体的作用发生了变化。
在蚀刻或淀积期间,对淀积和蚀刻处理室的壁加热以便使冷凝降至最低是公知的。在淀积反应器内,使表面加热到足以导致化学反应程度,因此,提高了欲淀积材料的淀积率,但是加热到低于化学反应温度阈值将促进废气解吸附。然而,加热反应器的所有表面,与此同时,用壁所吸收的不需要物质的等离子物质形式的挥发性化合物轰击这些表面,这不是我们在前面描述过的知识。而且,迄今为止,在反应器中,不可能与ESPF源不同。
利用Santa Clara材料,CA销售一种蚀刻反应器,该蚀刻反应器利用氟的化学性质,并且使用一个加热硅顶板,以便在蚀刻反应期间而不是反应器清洁期间将氟基团(F*)转化为氟分子(F2)。一些其它已知的系统已试图在处理期间控制各自表面的化学变化,例如在日本的标题为“干式蚀刻”的申请文件61—289634中所公开的,其中通过把氧化铝环装在电极的外表面上,从而防止在电极上形成聚合物;日本的标题为“蚀刻装置”的申请文件62—324404,其中热水基加热器安装在氧化硅室上,以改善蚀刻性能;日本的标题为“蚀刻装置”的申请文件63—165812,其中电加热器安装在室上,以防止反应产物附着在表面上。每一个这些申请文件的内容在此提供作为参考。然而,如果仅选择的表面具有受控制的化学性质,那么,由于其余表面不太可靠地受到控制,因此,该其余表面成为相应系统中存在壁对处理气体起作用的总体控制因素。
已知的系统存在的另一个问题是,对于利用等离子蚀刻的源内的表面来说,其清洁很慢。事实上,在许多反应器中,清洁时间大大超过处理时间,特别是对厚淀积材料来说更是这样。这种反应器本身花费不菲而且效率又低。
一些已知的系统已经采用在清洁期间对单独部件施加电偏压,例如在Sekiya等的标题为“等离子体处理装置和等离子清洁方法”的第5,269,881号美国专利中所公开,其中,在清洁期间,给三个电绝缘的导电块中的每一个分别施加高电压;标题为“平行平板类型的干式蚀刻装置”的日本申请文件57—42131,其中电极的极性在喷射和清洁之间反转;标题为“干式清洁方法”的日本申请文件60—59739,其中高频电源作用在一个基片电极和一个清洁电极之间,以便去除硅膜;标题为“半导体制造装置”的日本申请文件61—10239,其中在等离子蚀刻/清洁处理期间,消除了阳极板的接地自偏压。每一个这些申请文件的内容在此提供作为参考。然而,如上所述,通过控制表面上的化学性质,如果仅选择的表面清洁,那么由于其余表面不太可靠地清洁,因此该其余表面成为其相应系统的总体不洁度的控制因素。
本发明的第一目的是克服公知的等离子体处理系统的至少一个缺点。
本发明的第二目的是提供一种控制高密度等离子源的全部表面的化学性质的方法。
本发明的第三目的是提供清洁等离子体处理系统的一种改进的方法,该方法减少了等离子源的清洁时间。
通过提供既可调节源的每个表面的温度又可调节其电偏压的能力,可实现本发明的这些和其它目的。源内的所有表面使用一种材料,该材料有助于控制发生在每个表面的化学反应,这样,就能实行这种调节。
结合附图,并参考下面的详细描述,就可以更完整的理解本发明及其许多优点,其中:
图1A是根据本发明的一等离子体处理室的侧视图;
图1B是根据本发明的等离子体处理室的俯视图;
图1C是根据本发明的等离子体处理室的横截面图,其横截面是从项部至底部截取;
图1D是根据本发明的等离子体处理室的横截面视图,其中横截面是沿着平行于室的顶部和底部截取;
图1E是等离子体处理室的横截面视图,该等离子体处理室包括一个射频源和一个感应源;
图2是在图1C圈出的处理室的壁的一放大图;
图3A是围绕处理室的加热元件的一示意图;
图3B是图3A的加热元件的一个子部分图;和
图4是根据本发明的清洁处理的流程图。
现在参见附图,在这几幅图中,同样的数字标号代表相同或对应的部分,图1A是利用等离子体来处理基片的锥形处理室的示意图。处理室基本上可以是宽度大于高度,并且其外壁的一部分暴露,以便在图中显示装在外壁和内壁之间的加热元件的盘管110。图1B表示与上述相同的处理室,其外壁完全移开,以显示加热元件的所有盘管110。盘管110直接与室壁接触。为了防止出现明显的环形电流通路,加热器的几何外形设计成使盘管沿室壁上下布设。这对于防止加热器的元件屏蔽掉施加到等离子体上的感应射频源来说十分重要。该处理室用于如图1E所示的一个静电射频(ESRF)源中。
图1C是处理室的横截面图,该图显示了外壁100和内壁105。内壁105可由形状适合的任何电介质材料制成。该形状并非一定是锥形,还可以是圆顶的、直的、筒状的等形状。目前最佳材料是融凝石英(SiO2)和氧化铝(Al2O3)。处理室内部是处于真空下的等离子区107。处理室还包括一个静电吸盘120,该静电吸盘与加热和冷却装置125连接,以控制吸盘120的温度。加热和冷却装置125可以是氦气源,该氦气可被加热或冷却,并且在处理期间在基片的背侧迅速流动。为了达到清洁的目的,仅仅使用氦来实现吸盘120上的基片间的热传导就已足够。然而,为了处理,吸盘120与射频电源耦合元件123连接,该射频电源耦合元件123从射频电源(未表示)接收射频电能。因此,在整个操作期间,吸盘可以偏压和/或温度受到控制。类似的,电容耦合RF电源可以施加到处理室顶部的圆形气体喷射板(未示出),并且该板可配备加热器。这样,可采取与容器的筒状部分的内壁105或吸盘120相同的方式对该板清洁和/或温度控制。
图1D是处理室的第二横截面图,图中表示了夹在内壁105和外壁100之间的处理室的不同部件。加热元件115的盘管110位于内壁105和偏压屏蔽件之间。开槽偏压屏蔽件的偏压屏蔽元件130可控制地接地并与RF电源(未示出)连接。当等离子系统按处理模式操作时,这些屏蔽元件130接地。然而,在清洁期间,当偏压屏蔽元件130与RF电源连接时,RF电源经过源的电介质壁电容耦合,从而在内壁105上产生脉冲负直流偏压。该偏压用来将大量反应离子射向内壁105上。通过仔细选择所使用的气体种类,并因此选择所使用的离子种类,实际上可消除内壁105上的任何冷凝物。
另外,静电屏蔽元件135夹在偏压屏蔽元件130和外壁100之间。为了对等离子装置感应场影响最小,如图所示,静电屏蔽元件135、偏压屏蔽元件130和盘管110都对齐排列。偏压屏蔽元件130也可以浸入可以加热或冷却的流体中。如果想促进冷凝物解吸附,那么可加热该流体。另一方面,如果想促进内壁与离子、基团或其它物质反应和/或使大功率耗散,那么可冷却该液体。静电屏蔽射频(ESRF)源是仅有的已知高密度等离子源,它可能提高加热或冷却和/或给源的所有表面施加负偏压的能力,该静电屏蔽射频源是Wayne L.Johnson(本申请的发明人)于1993年8月10日公开发行的5,234,529号美国专利的主题。该专利的内容在此作为参考。
其它静电屏蔽元件公开在下列临时申请文件中,即名称为“调节等离子体密度分布的装置和方法”,序号为60/061,856,委托人代码为2312—544—6 PROV,1997年10月15日申请的申请文件;和名称为“利用等离子密度梯度以产生粒子流的装置和方法”,序号为60/061,857,委托人代码为2312—547—6 PRO,1997年10月15日申请的申请文件。这些临时申请文件的内容在此提供作为参考。提供作为参考的还有委托人代码为2312—756—6YA WO和2312—757—6YA WO,1998年10月15日申请的相应的PCT申请。
图2是如图1C所示的处理室的室壁的圆周部分的放大图。等离子区107位于处理室壁元件的内部,并且定向表示。下面从处理室的内部开始描述每一层。放大截面包括具有凹槽的陶瓷管140,盘管110嵌入该凹槽内。盘管110由陶瓷保护封装145覆盖。有机绝热层150使陶瓷封装145和加热室与围绕感应线圈的外部电介质冷却流体160隔绝。有机绝热层150必须能耐受冷却剂。例如,优选的冷却流体160是氟化物(fluorinert),这样绝热层150必须是抗氟化物材料。
图3A表示成形为环绕整个处理室的加热元件115的盘管110。加热元件可以分解成一系列子元件—例如三个子元件,子元件在图3B中表示。这些子元件在处理步骤或清洁期间都可使用。这构成与电源较好的匹配和较好的均匀加热。通常,每个子元件都是相同的。制造具有较好热传导的高电阻的加热元件也很重要。另外,如果使用一种电阻取决于温度的材料,那么通过测量加热元件的电阻就可以很容易地确定加热元件的温度。加热元件既可以独立制造并放置在上述凹槽中,也可以正好在使用溅射或蒸发膜的处理室上制造。在任一实施例中,重要的是能够对处理室均匀地加热。
因此,可以看到等离子淀积或蚀刻反应器的全部内表面可以受到温度控制(热或冷)和/或由与处理室的内表面电容耦合的RF电源偏压。其它已知形式的高密度源(电子回旋共振,螺旋波,变压器耦合电源等)不可能具有如此性质。在这些情况下,电源都不可以经处理室的筒状壁而电容耦合以清洁这些区域。在某些情况下,为了使电源与筒状室的内壁耦合,一定有电磁场线圈占用该空间,该空间必须由RF电极占用。在其它情况下,处理室的筒状壁是金属(通常接地),RF电源不能经过金属壁耦合。出于基本相同的原因,对除了ESRF高密度源之外的处理室筒状部分的内壁加热或冷却是不可能的或者非常难。在这方面,本发明的独特之处在于以下方面的结合:电介质壁,正好在内壁内侧的可偏压的屏蔽,和壁的外表面可以容易地加热或冷却的事实。通过使用内部加热元件,壁对处理气体作用的大量聚合(polymide)减少,因此进行清洁处理。清洁处理导致维护频率降低。
在蚀刻和淀积系统中清洁的过程基本上相同。当然,根据要从内表面清除的不同物质,使用的气体也将不同。图4给出了一般过程的流程图。在清洁第一步骤,对偏压屏蔽件施加偏压。施加的偏压绝不应高到导致表面发生物理溅射。溅射使壁物质再分布,当壁清洁时,溅射还使处理室的壁材料沾污。所有清除都应通过化学作用发生。化学清洁意味着污染物质在废蒸汽中排出处理室。同时,这也意味着清洁使用的气体压力应较高(大于100mTorr)。
第二,全部处理室内表面应加热到使再冷凝降至最低。而且,表面加热以便减少从一个表面去除的冷凝物再次淀积到另一个表面上的机会。污染物不灭的原理可表述为:“你无法使任何物质清洁的同时不使其它一些物质变脏;但你可以使任何物质变脏而不使任何物质洁净。”这是该原理的一种应用。
现在,表面开始清洁。清洁的顺序很重要。最大的表面应首先清洁。通常这是筒状真空容器的内壁105。接着,处理次大的表面。通常这是气体喷射板。对逐渐小的表面持续进行清洁处理,直到所有表面已清洁。这个周期可以重复以提高清洁的程度。通常当基片吸盘已清洁时,处理过程结束。
即使对于表面加热,在某些情况下也可能发生再冷凝。在这些情况下,就必须重复的清洁不同的表面。如果重复清洁必不可少,那么,就应该按相同顺序进行,即从最大表面至最小表面清洁。
构成室壁和气体喷射板的材料也很重要。例如,融凝石英壁与氟基团和离子反应。如果在例如蚀刻处理中所用的反应气体是F2,氟基团或离子的反应能够改变气体的化学性质。这有可能是需要的,也可能是不需要的。如果是不需要的,应使用不与氟化物质反应的氧化铝管。根据在壁处发生的化学反应是否合乎需要来选择材料。
壁的化学性质的控制是下列三方面作用的结合:(1)催化增强反应;(2)离子,基团,高能中性粒子的轰击;和(3)壁材料经过抽气或吸气来吸附。对这些作用的控制有助于从整体上控制处理。
显然,考虑到上述教导,本发明可作许多修改和变化。因此,可以理解在附属的权利要求书的范围内,本发明不受上面描述的限制。

Claims (9)

1.一种等离子体处理系统,包括:
一包括一个内壁和一个外壁的处理室;
一与处理室内壁热耦合的加热元件;
一静电屏蔽件;和
一插入静电屏蔽件与加热元件之间的偏压屏蔽件。
2.如权利要求1所述的等离子体处理系统,其特征在于还包括一感应耦合元件,该感应耦合元件用于使射频电源与处理室内的气体感应耦合,以便产生等离子体。
3.如权利要求2所述的等离子体处理系统,其特征在于感应耦合元件是一螺旋线圈。
4.如权利要求2所述的等离子体处理系统,其特征在于加热元件是盘管加热元件,以避免屏蔽掉由感应耦合元件施加的射频源。
5.如权利要求1所述的等离子体处理系统,其特征在于还包括一个温度调节和电偏压基片座。
6.一种清洁等离子体处理系统的方法,该等离子体处理系统具有一个处理室,还包括偏压屏蔽件和与处理室的内壁耦合的加热元件,该方法的步骤包括:
向偏压屏蔽件施加偏压,以便在内壁上产生脉动负直流偏压;
利用加热元件加热处理室的内壁;
向处理室内喷射一种清洁气体;和
清洁数个内表面中的每一个,清洁顺序是按尺寸减小方向从数个表面中最大的表面开始一直清洁到尺寸最小的内表面为止。
7.如权利要求6所述的方法,其特征在于其步骤还包括:在清洁步骤之后测量处理室的清洁度。
8.如权利要求7所述的方法,其特征在于其步骤还包括:如果测量步骤显示处理室还不够清洁时,至少重复清洁步骤一次,以便在添加新的基片之前进一步清洁处理室。
9.如权利要求6所述的方法,其特征在于,在通过处理来控制壁淀积期间,施加偏压和对内壁加热的步骤相结合进行。
CN98811137A 1997-11-14 1998-11-13 一种等离子体处理系统及清洁等离子体处理系统的方法 Expired - Fee Related CN1097491C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US6579497P 1997-11-14 1997-11-14
US60/065,794 1997-11-14

Publications (2)

Publication Number Publication Date
CN1278750A true CN1278750A (zh) 2001-01-03
CN1097491C CN1097491C (zh) 2003-01-01

Family

ID=22065164

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98811137A Expired - Fee Related CN1097491C (zh) 1997-11-14 1998-11-13 一种等离子体处理系统及清洁等离子体处理系统的方法

Country Status (6)

Country Link
US (1) US6425953B1 (zh)
EP (1) EP1030745A4 (zh)
JP (1) JP2001523887A (zh)
KR (2) KR100557515B1 (zh)
CN (1) CN1097491C (zh)
WO (1) WO1999025494A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101612622B (zh) * 2008-06-23 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 用于减少腔室颗粒沉积的方法、系统及半导体处理设备
CN101872733B (zh) * 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
CN115209603A (zh) * 2021-06-25 2022-10-18 北京屹唐半导体科技股份有限公司 等离子体处理设备

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001523887A (ja) * 1997-11-14 2001-11-27 東京エレクトロン株式会社 プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法
TW518686B (en) * 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
TW584905B (en) 2000-02-25 2004-04-21 Tokyo Electron Ltd Method and apparatus for depositing films
US6811611B2 (en) * 2000-03-02 2004-11-02 Tokyo Electron Limited Esrf source for ion plating epitaxial deposition
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
JP2002280365A (ja) * 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
JP2002299315A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
WO2003012567A1 (en) * 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
US6946054B2 (en) 2002-02-22 2005-09-20 Tokyo Electron Limited Modified transfer function deposition baffles and high density plasma ignition therewith in semiconductor processing
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
US7163603B2 (en) * 2002-06-24 2007-01-16 Tokyo Electron Limited Plasma source assembly and method of manufacture
JP4884180B2 (ja) * 2006-11-21 2012-02-29 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR100926778B1 (ko) * 2008-09-10 2009-11-17 주명호 호제 조성물의 제조방법
JP2011100865A (ja) * 2009-11-06 2011-05-19 Hitachi High-Technologies Corp プラズマ処理方法
US8642974B2 (en) 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
EP2341525B1 (en) 2009-12-30 2013-10-23 FEI Company Plasma source for charged particle beam system
US20130098871A1 (en) 2011-10-19 2013-04-25 Fei Company Internal Split Faraday Shield for an Inductively Coupled Plasma Source
US9447205B2 (en) * 2012-11-19 2016-09-20 Ut-Battelle, Llc Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure
JP6832171B2 (ja) 2017-01-24 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置のチャンバ本体の内部のクリーニングを含むプラズマ処理方法
CN113130285B (zh) * 2019-12-31 2022-04-15 江苏鲁汶仪器有限公司 一种陶瓷进气接射频清洗装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US61857A (en) 1867-02-05 Improvement in top-drivers or spinners
US61856A (en) 1867-02-05 Enos s
JPS5742131A (en) 1980-08-27 1982-03-09 Mitsubishi Electric Corp Parallel flat board type dry etching device
JPS6110239A (ja) 1984-06-25 1986-01-17 Nec Kansai Ltd 半導体製造装置
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5523261A (en) 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
JP2001523887A (ja) * 1997-11-14 2001-11-27 東京エレクトロン株式会社 プラズマ処理システム並びにプラズマ処理システムをクリーニングする方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101612622B (zh) * 2008-06-23 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 用于减少腔室颗粒沉积的方法、系统及半导体处理设备
CN101872733B (zh) * 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
CN115209603A (zh) * 2021-06-25 2022-10-18 北京屹唐半导体科技股份有限公司 等离子体处理设备

Also Published As

Publication number Publication date
EP1030745A4 (en) 2006-12-13
EP1030745A1 (en) 2000-08-30
KR20050112136A (ko) 2005-11-29
CN1097491C (zh) 2003-01-01
JP2001523887A (ja) 2001-11-27
WO1999025494A1 (en) 1999-05-27
US6425953B1 (en) 2002-07-30
KR100557514B1 (ko) 2006-03-03
KR20010032091A (ko) 2001-04-16
KR100557515B1 (ko) 2006-03-03

Similar Documents

Publication Publication Date Title
CN1097491C (zh) 一种等离子体处理系统及清洁等离子体处理系统的方法
US5366585A (en) Method and apparatus for protection of conductive surfaces in a plasma processing reactor
CN101422088B (zh) 用于减少等离子体处理系统中的副产品沉积的方法和装置
TWI375735B (en) Methods and apparatus for tuning a set of plasma processing steps
Selwyn et al. Materials Processing Using an Atmospheric Pressure, RF‐Generated Plasma Source
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
JP3792267B2 (ja) 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
JP3411539B2 (ja) プラズマ処理装置及びプラズマ処理方法
US4971667A (en) Plasma processing method and apparatus
KR100727733B1 (ko) 성막 장치
CN107710398A (zh) 具有射频耦合的高功率静电夹盘设计
KR100602072B1 (ko) 오염 제어 방법 및 플라즈마 공정 챔버
WO2001048792A1 (en) Plasma reactor with dry clean antenna and method
US6564810B1 (en) Cleaning of semiconductor processing chambers
JPH04279044A (ja) 試料保持装置
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus
JP4193255B2 (ja) プラズマ処理装置及びプラズマ処理方法
EP1303869A2 (en) Heated substrate support assembly and method
JP2003068155A (ja) 透明導電性膜のドライエッチング方法
WO2001023636A1 (en) Method and apparatus for controlling chamber surfaces in a semiconductor processing reactor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee