JP2001092869A - Device and method for synthesizing and verifying logic and recording medium - Google Patents

Device and method for synthesizing and verifying logic and recording medium

Info

Publication number
JP2001092869A
JP2001092869A JP26980299A JP26980299A JP2001092869A JP 2001092869 A JP2001092869 A JP 2001092869A JP 26980299 A JP26980299 A JP 26980299A JP 26980299 A JP26980299 A JP 26980299A JP 2001092869 A JP2001092869 A JP 2001092869A
Authority
JP
Japan
Prior art keywords
netlist
signal
logic
signal name
description
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP26980299A
Other languages
Japanese (ja)
Inventor
Toushi Hashida
闘志 橋田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Engineering Ltd
Original Assignee
NEC Engineering Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Engineering Ltd filed Critical NEC Engineering Ltd
Priority to JP26980299A priority Critical patent/JP2001092869A/en
Publication of JP2001092869A publication Critical patent/JP2001092869A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To reduce the man-hour required for synthesizing and verifying a plurality of net lists from logical descriptions. SOLUTION: A means 1 generates a net list B from a logical description A and a means 2 verifies the consistency between the description A and list B. Means 3 and 4 generate net lists DI, etc., corresponding to different signal names, and logical descriptions F1, etc., by converting the signal names in the list B and description A in accordance with a signal name corresponding card file C in which different signal names are arranged correspondingly to the signal names in the list B. A means 5 detects the difference in signal name between the net list B and net lists DI, etc., and outputs a net list signal name difference file E in which the signal names are arranged. A means 6 detects the difference in signal name between the logical description A and logical descriptions F1, etc., and outputs a logical description signal name difference file G in which the signal names are arranged. A means 7 verifies the consistency between the files E and G.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、汎用的な論理マク
ロ(ネットリスト)を複数使用するLSI設計における
論理合成・検証システムに関し、特に、1種類の論理記
述から複数のネットリストを作成し、論理記述と各ネッ
トリストとの整合性を検証する論理検証システムに関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a logic synthesis / verification system in an LSI design using a plurality of general-purpose logic macros (netlists), and more particularly, to creating a plurality of netlists from one type of logic description. The present invention relates to a logic verification system for verifying consistency between a logic description and each netlist.

【0002】[0002]

【従来の技術】集積回路の設計においては、周知のよう
に、ハードウェア記述言語(HDL)で論理回路情報を
記述したテキスト記述(以下、「論理記述」と記す。)
から、論理合成によって、ゲートレベルの回路要素の単
位で回路の接続関係を表現したネットリストを生成す
る。とりわけ、LSIでは、信号が異なるが同一論理演
算を行う論理回路が複数存在することが多く、その場合
は、1種類の論理記述から信号名が異なる複数のネット
リストが生成される。したがって、論理記述と各ネット
リストとの整合性を検証する論理検証が重要な作業にな
ってくる。
2. Description of the Related Art In the design of an integrated circuit, as is well known, a text description in which logic circuit information is described in a hardware description language (HDL) (hereinafter referred to as "logic description").
Then, a netlist expressing the connection relation of the circuits in units of gate-level circuit elements is generated by logic synthesis. In particular, in an LSI, there are often a plurality of logic circuits having different signals but performing the same logical operation. In this case, a plurality of netlists having different signal names are generated from one type of logic description. Therefore, logic verification for verifying the consistency between the logic description and each netlist is an important task.

【0003】このような論理合成・検証を行う従来の論
理合成・検証システムの例を図14に示す。図14にお
いて、最初に基となる論理記述aを複数枚分コピーし
て、複数の論理記述a1,a2・・・を得る。次に、各
々の論理記述a1,a2・・・に対し、信号名変換手段
30により異なる信号名に変換する。これによって、基
となる論理記述aに対し論理は同じであるが信号名が異
なる複数の論理記述a11,a21・・・を作成でき
る。次に、基になった論理記述aと、信号名の変換が終
わった論理記述a11,a21・・・それぞれに対し、
論理合成手段10によって論理合成を行い、ネットリス
トb,b1,b2・・・の作成を行う。最後に、論理検
証手段20は各論理記述a,a11,a21・・・とネ
ットリストb.b1,b2・・・との整合性の検証をそ
れぞれについて行なう。
FIG. 14 shows an example of a conventional logic synthesis / verification system for performing such logic synthesis / verification. In FIG. 14, first, a plurality of base logical descriptions a are copied to obtain a plurality of logical descriptions a1, a2,. Next, each of the logical descriptions a1, a2,... Is converted into a different signal name by the signal name conversion means 30. Thus, a plurality of logic descriptions a11, a21,... Having the same logic as the base logic description a but different signal names can be created. Next, for each of the base logical description a and the logical descriptions a11, a21,.
Logic synthesis is performed by the logic synthesis means 10 to create netlists b, b1, b2,. Finally, the logic verifying means 20 assigns each of the logic descriptions a, a11, a21. Verification of consistency with b1, b2,.

【0004】すなわち、この論理合成・検証システム
は、1種類の論理記述から複数の論理記述と複数のネッ
トリストを作成し、それぞれ対応する論理記述とネット
リストとの整合性について複数回の検証を行うものであ
る。
That is, this logic synthesis / verification system creates a plurality of logic descriptions and a plurality of netlists from one type of logic description, and verifies the consistency between the corresponding logic description and the netlist a plurality of times. Is what you do.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、上述し
た従来の論理合成・検証システムでは、同じ論理で構成
され信号名が異なる複数の論理記述を作成し、各論理記
述に対してそれぞれのネットリストを作成するため、論
理合成作業を複数回行う必要があるので、論理合成作業
の工数が増大するという第1の問題点がある。
However, in the above-described conventional logic synthesis / verification system, a plurality of logic descriptions composed of the same logic and having different signal names are created, and a netlist is created for each logic description. Since it is necessary to perform the logic synthesis work a plurality of times for the creation, there is a first problem that the number of steps of the logic synthesis work increases.

【0006】また、複数の論理記述と、それぞれの論理
記述に対応するネットリストとの間の論理検証を行うた
め、論理検証作業を複数回行う必要があるので、論理検
証作業の工数が増大するという第2の問題点がある。
In addition, since the logic verification between the plurality of logic descriptions and the netlists corresponding to the respective logic descriptions must be performed a plurality of times, the number of steps of the logic verification work increases. There is a second problem.

【0007】さらに、同じ論理で構成され信号名が異な
る複数の論理記述が存在するため、論理の変更が生じた
場合、複数の論理記述言語の修正が必要になるので、修
正工数の増大と、人手作業の介入による修正ミスが生じ
る可能性が高くなるという第3の問題点がある。
Further, since there are a plurality of logic descriptions having the same logic but different signal names, if the logic is changed, it is necessary to correct a plurality of logic description languages. There is a third problem that the possibility of a correction error due to manual intervention increases.

【0008】本発明の目的は、1種類の論理記述から複
数のネットリストを作成する論理合成作業の工数を軽減
した論理合成システムを提供することにある。
An object of the present invention is to provide a logic synthesis system in which the number of steps of a logic synthesis operation for creating a plurality of netlists from one type of logic description is reduced.

【0009】また、本発明の他の目的は、1種類の論理
記述と複数のネットリストとの間の整合性を検証する論
理検証作業の工数を軽減した論理検証システムを提供す
ることにある。
It is another object of the present invention to provide a logic verification system in which the number of steps of a logic verification operation for verifying the consistency between one type of logic description and a plurality of netlists is reduced.

【0010】[0010]

【課題を解決するための手段】第1の本発明の論理合成
装置は、1種類の論理記述から信号名が異なる複数のネ
ットリストを作成する論理合成装置において、前記論理
記述からネットリストを作成する論理合成手段と、該ネ
ットリスト中の信号名対応に前記異なる信号名を羅列し
た信号名対応カードファイルにしたがって、前記ネット
リスト中の信号名を変換することにより、前記異なる信
号名対応のネットリストを作成するネットリスト信号名
変換処理手段と備えたことを特徴とする。
According to a first aspect of the present invention, there is provided a logic synthesizing apparatus for creating a plurality of netlists having different signal names from one kind of logical description, wherein the netlist is created from the logical description. Logic synthesizing means, and converting the signal names in the netlist in accordance with a signal name correspondence card file listing the different signal names in correspondence with the signal names in the netlist, thereby obtaining the nets corresponding to the different signal names. A netlist signal name conversion processing means for creating a list is provided.

【0011】第2の本発明の論理合成装置は、1種類の
論理記述から信号名が異なる複数のネットリストを作成
し、該作成したネットリストの論理検証を行なう論理検
証装置において、前記論理記述からネットリストを作成
する論理合成手段と、前記論理記述と該ネットリストと
の間の整合性を検証する論理検証手段と、該ネットリス
ト中の信号名対応に前記異なる信号名を羅列した信号名
対応カードファイルにしたがって、前記ネットリスト中
の信号名を変換することにより、前記異なる信号名対応
のネットリストを作成するネットリスト信号名変換処理
手段と、該ネットリスト中の信号名対応に前記異なる信
号名を羅列した信号名対応カードファイルにしたがっ
て、前記論理記述中の信号名を変換することにより、前
記異なる信号名対応の論理記述を作成する論理記述信号
名変換処理手段と、前記論理記述から作成されたネット
リストと前記信号名変換後のネットリストとの間の信号
名の違いを検出して、その信号名を羅列したネットリス
ト信号名違いファイルを出力するネットリスト信号名検
証手段と、前記論理記述と前記信号名変換後の論理記述
との間の信号名の違いを検出して、その信号名を羅列し
た論理記述信号名違いファイルを出力する論理記述信号
名検証手段と、前記ネットリスト信号名違いファイルと
前記論理記述信号名違いファイルとの間の整合性を検証
する信号名違いファイル検証手段とを備えたことを特徴
とする。
According to a second aspect of the present invention, there is provided a logic verifying apparatus for creating a plurality of netlists having different signal names from one kind of logical description and performing logic verification of the created netlist. Logic synthesizing means for creating a net list from the logic list, logic verifying means for verifying consistency between the logic description and the net list, and signal names listing the different signal names corresponding to the signal names in the net list Netlist signal name conversion processing means for creating a netlist corresponding to the different signal names by converting signal names in the netlist according to the corresponding card file; and By converting the signal names in the logical description according to the signal name correspondence card file listing the signal names, it is possible to handle the different signal names. Logic description signal name conversion processing means for creating a logic description, detecting a difference in signal name between the netlist created from the logic description and the netlist after the signal name conversion, and listing the signal names Netlist signal name verification means for outputting a netlist signal name difference file, and a logic which detects a difference in signal name between the logical description and the logical description after the signal name conversion, and lists the signal names. Logic description signal name verification means for outputting a description signal name difference file; and signal name difference file verification means for verifying consistency between the netlist signal name difference file and the logic description signal name difference file. It is characterized by the following.

【0012】第1の本発明の論理合成方法は、1種類の
論理記述から信号名が異なる複数のネットリストを作成
する論理合成方法において、前記論理記述からネットリ
ストを作成する論理合成手順と、該ネットリスト中の信
号名対応に前記異なる信号名を羅列した信号名対応カー
ドファイルにしたがって、前記ネットリスト中の信号名
を変換することにより、前記異なる信号名対応のネット
リストを作成するネットリスト信号名変換処理手順とを
有することを特徴とする。
According to a first aspect of the present invention, there is provided a logic synthesis method for creating a plurality of netlists having different signal names from one type of logic description, wherein the logic synthesis procedure creates a netlist from the logic description. A netlist for creating the netlist corresponding to the different signal names by converting the signal names in the netlist according to a signal name correspondence card file listing the different signal names corresponding to the signal names in the netlist. And a signal name conversion processing procedure.

【0013】第2の本発明の論理合成方法は、1種類の
論理記述から信号名が異なる複数のネットリストを作成
し、該作成したネットリストの論理検証を行なう論理検
証方法において、前記論理記述からネットリストを作成
する論理合成手順と、前記論理記述と該ネットリストと
の間の整合性を検証する論理検証手順と、該ネットリス
ト中の信号名対応に前記異なる信号名を羅列した信号名
対応カードファイルにしたがって、前記ネットリスト中
の信号名を変換することにより、前記異なる信号名対応
のネットリストを作成するネットリスト信号名変換処理
手順と、該ネットリスト中の信号名対応に前記異なる信
号名を羅列した信号名対応カードファイルにしたがっ
て、前記論理記述中の信号名を変換することにより、前
記異なる信号名対応の論理記述を作成する論理記述信号
名変換処理手順と、前記論理記述から作成されたネット
リストと前記信号名変換後のネットリストとの間の信号
名の違いを検出して、その信号名を羅列したネットリス
ト信号名違いファイルを出力するネットリスト信号名検
証手順と、前記論理記述と前記信号名変換後の論理記述
との間の信号名の違いを検出して、その信号名を羅列し
た論理記述信号名違いファイルを出力する論理記述信号
名検証手順と、前記ネットリスト信号名違いファイルと
前記論理記述信号名違いファイルとの間の整合性を検証
する信号名違いファイル検証手順とを有することを特徴
とする。
According to a second aspect of the present invention, in the logic verifying method for creating a plurality of netlists having different signal names from one kind of logical description and performing logic verification of the created netlist, A logic synthesis procedure for creating a netlist from the above, a logic verification procedure for verifying consistency between the logic description and the netlist, and a signal name listing the different signal names corresponding to the signal name in the netlist. A netlist signal name conversion processing procedure for creating a netlist corresponding to the different signal names by converting the signal names in the netlist according to the corresponding card file; and By converting the signal names in the logical description according to the signal name correspondence card file listing the signal names, it is possible to handle the different signal names. A logic description signal name conversion procedure for creating a logic description, and detecting a difference in signal name between the netlist created from the logic description and the netlist after the signal name conversion, and listing the signal names. A netlist signal name verifying procedure for outputting the netlist signal name difference file, and detecting a difference in signal names between the logical description and the converted logical description, and listing the signal names. A logic description signal name verification procedure for outputting a description signal name difference file; and a signal name difference file verification procedure for verifying consistency between the netlist signal name difference file and the logic description signal name difference file. It is characterized by.

【0014】[0014]

【発明の実施の形態】次に、本発明の実施の形態につい
て図面を参照して詳細に説明する。
Next, embodiments of the present invention will be described in detail with reference to the drawings.

【0015】図1を参照すると、本発明の一実施の形態
としての論理合成・検証システムが示されている。本実
施の形態は、基になる1種類の論理記述Aに対して論理
合成手段1が論理合成作業を1回だけ行うことにより1
つのネットリストBを作成し、ネットリスト信号名変換
処理手段3はこのネットリストBの信号名を変換して複
数のネットリストD1,D2・・・を作成する。また、
論理記述信号名変換処理手段4は論理記述Aの信号名を
変換して複数の論理記述F1,F2・・・を作成する。
FIG. 1 shows a logic synthesis / verification system according to an embodiment of the present invention. In the present embodiment, the logic synthesizing unit 1 performs the logic synthesizing operation only once for one type of the logical description A,
.. Are generated, and the netlist signal name conversion processing means 3 converts the signal names of the netlist B to generate a plurality of netlists D1, D2,. Also,
The logical description signal name conversion processing means 4 converts the signal name of the logical description A to create a plurality of logical descriptions F1, F2,.

【0016】論理検証も論理検証手段2が論理記述Aと
ネットリストBとの間で1回だけ行う。そして、後は、
ネットリスト信号名検証処理手段5がネットリストBと
ネットリストD1,D2・・・との間のネットリスト信
号名違いを検出し、また、論理記述信号姪検証処理手段
6が論理記述Aと論理記述F1,F2・・・との間の論
理記述信号名違いを検出し、最後に、信号名違いファイ
ル検証処理手段7がネットリスト信号名違いと論理記述
信号名違いとの間の整合性を検証する。
The logic verification means 2 performs the logic verification only once between the logic description A and the netlist B. And after that,
The netlist signal name verification processing means 5 detects a netlist signal name difference between the netlist B and the netlists D1, D2,. .., And finally, the signal name difference file verification processing means 7 checks the consistency between the netlist signal name difference and the logical description signal name difference. Verify.

【0017】まず、それぞれの入出力ファイルについて
説明する。論理記述Aは、論理回路情報をHDLで記述
したテキスト記述であり、論理合成手段1の入力となる
入力ファイルである。ネットリストBは、論理合成手段
1によって作成されたファイルであり、論理回路の接続
関係をゲートレベルの回路要素の単位で表現したリスト
である。信号名対応カードファイルCは、論理合成手段
1に入力した論理記述Aに記述されている信号名と、変
換後の信号名の対応を羅列したファイルである。ネット
リストD1,D2・・・は、ネットリスト信号名変換処
理手段5が信号名対応カードファイルCによってネット
リストBの信号を変換して作成したファイルである。論
理記述F1,F2・・・は、論理記述信号名変換処理手
段4が信号名対応カードファイルCによって作成したフ
ァイルである。ネットリスト信号名違いファイルEは、
ネットリスト信号名検証処理手段5が作成した、ネット
リストBとネットリストD1,D2・・・との間の信号
名の違いを羅列したファイルである。そして、論理記述
信号名違いファイルGは論理記述信号名検証処理手段6
が作成した、論理記述Aと論理記述F1,F2・・・と
の間の信号名の違いを羅列したファイルである。
First, each input / output file will be described. The logic description A is a text description in which logic circuit information is described in HDL, and is an input file to be input to the logic synthesis unit 1. The netlist B is a file created by the logic synthesizing unit 1, and is a list expressing the connection relation of the logic circuits in units of gate-level circuit elements. The signal name correspondence card file C is a file listing correspondences between signal names described in the logical description A input to the logical synthesizing means 1 and converted signal names. The netlists D1, D2,... Are files created by the netlist signal name conversion processing means 5 by converting the signals of the netlist B using the signal name correspondence card file C. The logical descriptions F1, F2,... Are files created by the signal name corresponding card file C by the logical description signal name conversion processing means 4. The netlist signal name difference file E is
This is a file created by the netlist signal name verification processing means 5 and listing the differences in signal names between the netlist B and the netlists D1, D2,. The logic description signal name difference file G is stored in the logic description signal name verification processing unit 6.
Is a file listing differences in signal names between the logical description A and the logical descriptions F1, F2,...

【0018】次に、各手段の機能の概要について説明す
る。論理合成手段1は論理記述Aを入力してネットリス
トBを出力する。論理検証手段は、ネットリストBと論
理記述Aを入力して、両者の間の整合性について論理検
証を行なう。論理合成手段1および論理検証手段2は従
来技術と変わるところがない。
Next, an outline of the function of each means will be described. The logic synthesis means 1 inputs a logic description A and outputs a netlist B. The logic verification means inputs the netlist B and the logic description A, and performs logic verification on the consistency between the two. The logic synthesizing means 1 and the logic verifying means 2 are the same as those in the prior art.

【0019】ネットリスト信号名変換処理手段3は、信
号名対応カードファイルCとネットリストBを入力し
て、信号名を変換した複数のネットリストD1,D2・
・・を出力する。論理合成処理信号名変換処理手段4
は、信号名対応カードファイルCと論理記述Aを入力し
て、信号名を変換した複数の論理記述F1,F2・・・
を出力する。ネットリスト信号名検証処理手段5は、論
理合成後のネットリストBとネットリスト信号名変換処
理後の複数のネットリストD1,D2・・・を入力し
て、両者の間で異なる信号名を羅列したネットリスト信
号名違いファイルEを出力する。論理記述信号名検証処
理手段6は、論理記述Aと論理記述信号名変換処理後の
複数の論理記述F1,F2・・・を入力して、両者の間
で異なる信号名を羅列した論理記述信号名違いファイル
Gを出力する。信号名違いファイル検証処理手段7は、
ネットリスト信号名違いファイルEと論理記述言語信号
名違いファイルGを入力して、両者の間で羅列された信
号名の違いを検出することにより信号名違いファイルの
検証をする。
The netlist signal name conversion processing means 3 inputs a signal name corresponding card file C and a netlist B, and converts a plurality of netlists D1, D2,.
・ ・ Is output. Logic synthesis processing signal name conversion processing means 4
Are a plurality of logical descriptions F1, F2,... Obtained by inputting a signal name corresponding card file C and a logical description A and converting the signal names.
Is output. The netlist signal name verification processing means 5 inputs the netlist B after the logic synthesis and the plurality of netlists D1, D2,... After the netlist signal name conversion processing, and lists different signal names between the two. Then, the output netlist signal name difference file E is output. The logical description signal name verification processing means 6 receives the logical description A and a plurality of logical descriptions F1, F2,... After the logical description signal name conversion processing, and lists the logical description signals having different signal names between the two. Output the misnamed file G. The signal name difference file verification processing means 7
A netlist signal name difference file E and a logic description language signal name difference file G are input, and a difference in signal names listed between the two is detected to verify the signal name difference file.

【0020】次に、ネットリスト信号名変換処理手段3
の動作について図2の流れ図を用いて詳細に説明する。
Next, the netlist signal name conversion processing means 3
Will be described in detail with reference to the flowchart of FIG.

【0021】図2におけるネットリストとは論理合成手
段1から出力されたネットリストBであり、カードファ
イルとは信号対応カードファイルCを指す。本ネットリ
スト信号名変換処理は、論理合成手段1が出力したネッ
トリストの最初の行を読む処理(図2のステップS
1),カードファイルの最初の行を読む処理(ステップ
S2),読み込んだネットリストの行に記述している信
号名と読み込んだカードファイルの行に定義している信
号名が同一であるかを判断する処理(ステップS3),
カードファイルから読み込んだ行がカードファイルの最
終行であるかを判断する処理(ステップS4),読み込
んだネットリストの行の信号名をカードファイルの信号
名に変換して新しいネットリストに出力する処理(ステ
ップS5),カードファイルの次の行を読む処理(ステ
ップS6),読み込んだネットリストの行を新しいネッ
トリストに出力する処理(ステップS7),ネットリス
トから読み込んだ行がネットリストの最終行であるかを
判断する処理(ステップS8)およびネットリストの次
の行を読む処理(ステップS9)から構成されている。
The netlist in FIG. 2 is the netlist B output from the logic synthesizing means 1, and the card file indicates the signal corresponding card file C. The netlist signal name conversion process is a process of reading the first row of the netlist output by the logic synthesis means 1 (step S in FIG. 2).
1) Processing for reading the first line of the card file (step S2), and checking whether the signal name described in the line of the read netlist and the signal name defined in the line of the read card file are the same. Determining process (step S3),
Processing for determining whether the line read from the card file is the last line of the card file (step S4), processing for converting the signal name of the read netlist line into the card file signal name and outputting it to a new netlist (Step S5), the process of reading the next line of the card file (Step S6), the process of outputting the read netlist line to a new netlist (Step S7), and the line read from the netlist is the last line of the netlist. (Step S8) and a process of reading the next line of the netlist (step S9).

【0022】次に、処理の手順について説明する。最初
にネットリストの最初の行を読む処理(ステップS1)
を行う。ネットリストの最初の行を読む処理(ステップ
S1)では、ネットリスト信号名変換処理手段3への入
力となるネットリストBの最初の行(1行目)を読む。
そしてカードファイルの最初の行を読む処理(ステップ
S2)に移る。カードファイルの最初の行を読む処理
(ステップS2)では、ネットリスト信号名変換処理手
段3への入力となるカードファイルCの最初の行(1行
目)を読む。そして、読み込んだネットリストの行に記
述している信号名と読み込んだカードファイルの行に定
義している信号名が同一であるかを判断する処理(ステ
ップS3)に移る。
Next, the processing procedure will be described. First, the process of reading the first line of the netlist (step S1)
I do. In the process of reading the first line of the netlist (step S1), the first line (first line) of the netlist B to be input to the netlist signal name conversion processing means 3 is read.
Then, the process proceeds to a process of reading the first line of the card file (step S2). In the process of reading the first line of the card file (step S2), the first line (first line) of the card file C to be input to the netlist signal name conversion processing means 3 is read. Then, the process proceeds to a process of determining whether the signal name described in the line of the read netlist and the signal name defined in the line of the read card file are the same (step S3).

【0023】読み込んだネットリストの行に記述してい
る信号名と読み込んだカードファイルの行に定義してい
る信号名が同一であるかを判断する処理(ステップS
3)では、読み込んだネットリストBの行に記述してい
る信号名と読み込んだカードファイルCの行に定義して
いる信号名が同一の場合は、読み込んだネットリストB
の行の信号名をカードファイルCの信号名に変換して新
しいネットリストに出力する処理(ステップS5)に移
り、一方、読み込んだネットリストBの行に記述してい
る信号名と読み込んだカードファイルCの行に定義して
いる信号名が同一でない場合は、カードファイルから読
み込んだ行がカードファイルの最終行であるかを判断す
る処理(ステップS4)に移る。
Processing for determining whether the signal name described in the line of the read netlist is the same as the signal name defined in the line of the read card file (step S)
In 3), if the signal name described in the line of the read netlist B is the same as the signal name defined in the line of the read card file C, the read netlist B
The process proceeds to the process of converting the signal names in the row of (2) into the signal names of the card file C and outputting the signal names to the new netlist (step S5). If the signal names defined in the lines of the file C are not the same, the process proceeds to a process of determining whether the line read from the card file is the last line of the card file (step S4).

【0024】カードファイルから読み込んだ行がカード
ファイルの最終行であるかを判断する処理(ステップS
4)では、カードファイルCから読み込んだ行がカード
ファイルCの最終行である場合は、読み込んだネットリ
ストの行を新しいネットリストに出力する処理(ステッ
プS7)に移り、一方、カードファイルCから読み込ん
だ行がカードファイルCの最終行でない場合はカードフ
ァイルの次の行を読む処理(ステップS6)に移る。
Processing for determining whether the line read from the card file is the last line of the card file (step S
In 4), if the line read from the card file C is the last line of the card file C, the process proceeds to a process of outputting the read netlist line to a new netlist (step S7). If the read line is not the last line of the card file C, the process proceeds to a process of reading the next line of the card file (step S6).

【0025】読み込んだネットリストの行の信号名をカ
ードファイルの信号名に変換して新しいネットリストに
出力する処理(ステップS5)では、読み込んだネット
リストBの行の信号名記述部分をカードファイルCので
読み込んだ行に定義している信号名に対応している信号
名に変換し新しいネットリストD1,D2・・・に出力
する。そして、ネットリストから読み込んだ行がネット
リストの最終行であるかを判断する処理(ステップS
8)に移る。
In the process of converting the read signal name of the line of the netlist into the signal name of the card file and outputting it to a new netlist (step S5), the signal name description portion of the read line of the netlist B is converted into a card file. Are converted into signal names corresponding to the signal names defined in the line read by C and output to new netlists D1, D2,... Then, a process of determining whether the line read from the netlist is the last line of the netlist (step S
Go to 8).

【0026】カードファイルの次の行を読む処理(ステ
ップS6)では、今読み込んでいるカードファイルCの
次の行を読み込む。そして、読み込んだネットリストの
行に記述している信号名と読み込んだカードファイルの
行に定義している信号名が同一であるかを判断する処理
(ステップS3)に移る。
In the process of reading the next line of the card file (step S6), the next line of the currently read card file C is read. Then, the process proceeds to a process of determining whether the signal name described in the line of the read netlist and the signal name defined in the line of the read card file are the same (step S3).

【0027】読み込んだネットリストの行を新しいネッ
トリストに出力する処理(ステップS7)では、読み込
んだネットリストCの行について信号名の変換を行わ
ず、新しいネットリストD1,D2・・・に出力する。
そして、ネットリストから読み込んだ行がネットリスト
の最終行であるかを判断する処理(ステップS8)に移
る。
In the process of outputting the read netlist rows to the new netlist (step S7), the signal names of the read netlist C rows are not converted, but are output to the new netlists D1, D2,. I do.
Then, the process proceeds to a process of determining whether the line read from the netlist is the last line of the netlist (step S8).

【0028】ネットリストから読み込んだ行がネットリ
ストの最終行であるかを判断する処理(ステップS8)
では、ネットリストBから読み込んだ行がネットリスト
Bの最終行である場合は、本処理を終了し、一方、ネッ
トリストBから読み込んだ行がネットリストBの最終行
でない場合はネットリストの次の行を読む処理(ステッ
プS9)に移る。
Processing for determining whether the line read from the netlist is the last line of the netlist (step S8)
Then, if the line read from the netlist B is the last line of the netlist B, the process is terminated. On the other hand, if the line read from the netlist B is not the last line of the netlist B, The process proceeds to the process of reading the row (step S9).

【0029】ネットリストの次の行を読む処理(ステッ
プS9)では、今読み込んでいるネットリストBの次の
行を読み込む。そして、カードファイルの最初の行を読
む処理(ステップS2)に移る。
In the process of reading the next line of the netlist (step S9), the next line of the currently read netlist B is read. Then, the process proceeds to a process of reading the first line of the card file (step S2).

【0030】以上の結果、ネットリストBの信号名を信
号名対応カードファイルCによって変換した新しいネッ
トリストD1,D2・・・が得られる。なお、新ネット
リストD1,D2・・・の数は、信号名対応カードファ
イルCにおいてネットリストBの信号名に対応付けられ
た信号名の数による。
As a result, new netlists D1, D2,... Obtained by converting the signal names of the netlist B by the card file C corresponding to the signal names are obtained. The number of the new netlists D1, D2,... Depends on the number of signal names associated with the signal names of the netlist B in the signal name correspondence card file C.

【0031】次に、論理記述信号名変換手段4の動作に
ついて図3の流れ図を用いて詳細に説明する。図3は、
図2において「ネットリスト」とあるのを「論理記述」
と読み替えたものになっており、流れ自体は同一であ
る。
Next, the operation of the logic description signal name conversion means 4 will be described in detail with reference to the flowchart of FIG. FIG.
In FIG. 2, "Netlist" means "Logical Description".
And the flow itself is the same.

【0032】図3における、論理記述とは論理合成手段
1の入力となる論理記述Aであり、カードファイルとは
信号対応カードファイルCを指す。本論理記述信号名変
換処理は、論理合成手段1の入力となる論理記述の最初
の行を読む処理(図3のステップS10),カードファ
イルの最初の行を読む処理(ステップS11),読み込
んだ論理記述の行に記述している信号名と読み込んだカ
ードファイルの行に定義している信号名が同一であるか
を判断する処理(ステップS12),カードファイルか
ら読み込んだ行がカードファイルの最終行であるかを判
断する処理(ステップS13),読み込んだ論理記述言
語の行の信号名をカードファイルの信号名に変換して新
しい論理記述F1,F2・・・に出力する処理(ステッ
プS14),カードファイルの次の行を読む処理(ステ
ップS15),読み込んだ論理記述の行を新しい論理記
述F1,F2・・・に出力する処理(ステップS1
6),論理記述から読み込んだ行が論理記述の最終行で
あるかを判断する処理(ステップS17)および論理記
述の次の行を読む処理(ステップS18)から構成され
ている。
In FIG. 3, the logical description is a logical description A which is an input to the logical synthesizing means 1, and the card file indicates a signal corresponding card file C. The logic description signal name conversion process is a process of reading the first line of the logic description to be input to the logic synthesis means 1 (step S10 in FIG. 3), a process of reading the first line of the card file (step S11), and Processing to determine whether the signal name described in the logical description line is the same as the signal name defined in the read card file line (step S12), and the line read from the card file is the last of the card file (Step S13), the signal names of the read lines of the logical description language are converted into the signal names of the card file and output to new logical descriptions F1, F2,... (Step S14). , The process of reading the next line of the card file (step S15), the process of outputting the line of the read logical description to new logical descriptions F1, F2,.
6), a process of determining whether the line read from the logical description is the last line of the logical description (step S17), and a process of reading the next line of the logical description (step S18).

【0033】次に、処理の手順について説明する。最初
に論理記述の最初の行を読む処理(ステップS10)を
行う。論理記述の最初の行を読む処理(ステップS1
0)では、論理記述信号名変換手段4への入力となる論
理記述Aの最初の行(1行目)を読む。そしてカードフ
ァイルの最初の行を読む処理(ステップS11)に移
る。カードファイルの最初の行を読む処理(ステップS
11)では、論理記述名変換処理手段4への入力となる
カードファイルCの最初の行(1行目)を読む。そし
て、読み込んだ論理記述言語の行に記述している信号名
と読み込んだカードファイルの行に定義している信号名
が同一であるかを判断する処理(ステップS12)に移
る。
Next, the processing procedure will be described. First, a process of reading the first line of the logical description (step S10) is performed. Processing for reading the first line of the logical description (step S1
In 0), the first line (first line) of the logical description A to be input to the logical description signal name conversion means 4 is read. Then, the process proceeds to a process of reading the first line of the card file (step S11). Processing to read the first line of the card file (step S
In 11), the first line (first line) of the card file C to be input to the logical description name conversion processing means 4 is read. Then, the process proceeds to a process of determining whether the signal name described in the read line of the logic description language is the same as the signal name defined in the read line of the card file (step S12).

【0034】読み込んだ論理記述の行に記述している信
号名と読み込んだカードファイルの行に定義している信
号名が同一であるかを判断する処理(ステップS12)
では、読み込んだ論理記述Aの行に記述している信号名
と読み込んだカードファイルCの行に定義している信号
名が同一の場合は、読み込んだ論理記述Aの行の信号名
をカードファイルCの信号名に変換して新しい論理記述
言語に出力する処理(ステップS14)に移り、一方、
読み込んだ論理記述Aの行に記述している信号名と読み
込んだカードファイルの行に定義している信号名が同一
でない場合は、カードファイルから読み込んだ行がカー
ドファイルの最終行であるかを判断する処理(ステップ
S13)に移る。
Processing to determine whether the signal name described in the read logical description line is the same as the signal name defined in the read card file line (step S12)
In the case where the signal name described in the line of the read logical description A is the same as the signal name defined in the line of the read card file C, the signal name in the line of the read logical description A The process proceeds to a process of converting the signal name into a signal name of C and outputting it to a new logic description language (step S14).
If the signal name described in the line of the read logical description A is not the same as the signal name defined in the line of the read card file, it is determined whether the line read from the card file is the last line of the card file. The process proceeds to the determining process (step S13).

【0035】カードファイルから読み込んだ行がカード
ファイルの最終行であるかを判断する処理(ステップS
13)では、カードファイルCから読み込んだ行がカー
ドファイルCの最終行である場合は、読み込んだ論理記
述の行を新しい論理記述に出力する処理(ステップS1
6)に移り、一方、カードファイルCから読み込んだ行
がカードファイルCの最終行でない場合はカードファイ
ルの次の行を読む処理(ステップS15)に移る。
Processing for determining whether the line read from the card file is the last line of the card file (step S
In 13), when the line read from the card file C is the last line of the card file C, the process of outputting the read line of the logical description to a new logical description (step S1)
6). On the other hand, if the line read from the card file C is not the last line of the card file C, the process proceeds to a process of reading the next line of the card file (step S15).

【0036】読み込んだ論理記述の行の信号名をカード
ファイルの信号名に変換して新しい論理記述に出力する
処理(ステップS14)では、読み込んだ論理記述Aの
行の信号名記述部分をカードファイルCの読み込んだ行
に定義している信号名に対応している信号名に変換し新
しい論理記述F1,F2・・・に出力する。そして、論
理記述から読み込んだ行が論理記述の最終行であるかを
判断する処理(ステップS17)に移る。
In the process of converting the read signal name of the logical description into a signal name of the card file and outputting it as a new logical description (step S14), the signal name description portion of the read logical description A is converted to the card file. Are converted into signal names corresponding to the signal names defined in the line read by C and output to new logical descriptions F1, F2,. Then, the process proceeds to a process of determining whether the line read from the logical description is the last line of the logical description (step S17).

【0037】カードファイルの次の行を読む処理(ステ
ップS15)では、今読み込んでいるカードファイルC
の次の行を読み込む。そして、読み込んだ論理記述の行
に記述している信号名と読み込んだカードファイルの行
に定義している信号名が同一であるかを判断する処理
(ステップS12)に移る。
In the process of reading the next line of the card file (step S15), the currently read card file C is read.
Read the next line of Then, the process proceeds to a process of determining whether the signal name described in the read logical description line and the signal name defined in the read card file line are the same (step S12).

【0038】読み込んだ論理記述の行を新しい論理記述
に出力する処理(ステップS16)では、読み込んだ論
理記述Aの行について信号名の変換を行わず、新しい論
理記述F1,F2・・・に出力する。そして、論理記述
から読み込んだ行が論理記述の最終行であるかを判断す
る処理(ステップS17)に移る。
In the process of outputting the read logical description line to the new logical description (step S16), the signal names of the read logical description A line are not converted, but are output to new logical descriptions F1, F2,. I do. Then, the process proceeds to a process of determining whether the line read from the logical description is the last line of the logical description (step S17).

【0039】論理記述から読み込んだ行が論理記述の最
終行であるかを判断する処理(ステップS17)では、
論理記述Aから読み込んだ行が論理記述Aの最終行であ
る場合は、本処理を終了し、一方、論理記述Aから読み
込んだ行が論理記述Aの最終行でない場合は論理記述言
語の次の行を読む処理(ステップS18)に移る。
In the process of determining whether the line read from the logical description is the last line of the logical description (step S17),
If the line read from the logical description A is the last line of the logical description A, the process ends. On the other hand, if the line read from the logical description A is not the last line of the logical description A, The process proceeds to a process of reading a row (step S18).

【0040】論理記述の次の行を読む処理(ステップS
18)では、今読み込んでいる論理記述Aの次の行を読
み込む。そして、カードファイルの最初の行を読む処理
(ステップS11)に移る。
Processing for reading the next line of the logical description (step S
In step 18), the next line of the logical description A being read is read. Then, the process proceeds to a process of reading the first line of the card file (step S11).

【0041】以上の結果、論理記述Aの信号名を信号名
対応カードファイルCによって変換した新しい論理記述
F1,F2・・・が得られる。なお、新しい論理記述F
1,F2・・・の数は、信号名対応カードファイルCに
おいて論理記述Aの信号に対応付けられた信号名の数に
よる。
As a result, new logical descriptions F1, F2,... Obtained by converting the signal names of the logical description A by the card file C corresponding to the signal names are obtained. Note that a new logical description F
The number of 1, F2... Depends on the number of signal names associated with the signal of the logical description A in the signal name corresponding card file C.

【0042】次に、ネットリスト信号名検証処理手段5
の動作について図4の流れ図を用いて詳細に説明する。
Next, the netlist signal name verification processing means 5
Will be described in detail with reference to the flowchart of FIG.

【0043】図4における全ネットリストとは、論理合
成手段1から出力されたネットリストBと、ネット信号
名変換処理手段3から出力されたネットリストD1,D
2・・・とをいう。本ネットリスト信号名検証処理は、
全ネットリストの最初の行を読む処理(ステップS1
9),全ネットリストの信号名が同一であるか判断する
処理(ステップS20),信号名を羅列して出力する処
理(ステップS21),ネットリストから読み込んだ行
が最終行であるかを判断する処理(ステップS22)お
よび全てットリストの次の行を読む処理(ステップS2
3)から構成されている。
4 are the netlist B output from the logic synthesis means 1 and the netlists D1 and D output from the net signal name conversion processing means 3.
2 and so on. This netlist signal name verification process
Processing for reading the first line of all netlists (step S1
9), a process of determining whether the signal names of all netlists are the same (step S20), a process of listing and outputting the signal names (step S21), and determining whether the line read from the netlist is the last line (Step S22) and the process of reading the next line of the all list (step S2)
3).

【0044】次に、処理の手順について説明する。最初
に、全ネットリストの最初の行を読む処理(ステップS
19)を行う。全ネットリストの最初の行を読む処理
(ステップS19)では、全ネットリストから最初の行
(1行目)を読む。そして、全ネットリストから読み込
んだ行に記述している信号名が同一であるかを判断する
処理(ステップS20)に移る。全ネットリストから読
み込んだ行に記述している信号名が同一であるかを判断
する処理(ステップS20)では、全ネットリストから
読み込んだ行に記述している信号名が同一であるかを判
断する。そして、信号名が同一である場合は、全ネット
リストから読み込んだ行が最終行であるかを判断する処
理(ステップS22)に移り、一方、信号名が同一でな
い場合は、信号名を羅列して出力する処理(ステップS
21)に移る。
Next, the processing procedure will be described. First, the process of reading the first line of all netlists (step S
19) is performed. In the process of reading the first line of the entire netlist (step S19), the first line (first line) is read from the entire netlist. Then, the process proceeds to a process of determining whether the signal names described in the lines read from all netlists are the same (step S20). In the process of determining whether the signal names described in the lines read from the all netlists are the same (step S20), it is determined whether the signal names described in the lines read from the all netlists are the same. I do. If the signal names are the same, the process proceeds to a step of determining whether the line read from all netlists is the last line (step S22). If the signal names are not the same, the signal names are listed. Output (step S
Move on to 21).

【0045】信号名を羅列して出力する処理(ステップ
S21)では、全ネットリストから読み込んだ行の信号
名記述部分を羅列して出力する。そして、全ネットリス
トから読み込んだ行がネットリストの最終行であるかを
判断する処理(ステップS22)に移る。
In the process of enumerating and outputting signal names (step S21), signal name description portions of rows read from all netlists are enumerated and output. Then, the process proceeds to a process of determining whether the line read from the entire netlist is the last line of the netlist (step S22).

【0046】全ネットリストから読み込んだ行が最終行
であるかを判断する処理(ステップS22)では、全ネ
ットリストから読み込んだ行が最終行であるかを判断す
る。最終行である場合は、本処理を終了し、一方、最終
行でない場合は、全ネットリストの次の行を読む処理
(ステップS23)に移る。
In the process of determining whether the line read from the all netlist is the last line (step S22), it is determined whether the line read from the all netlist is the last line. If it is the last line, the process ends. On the other hand, if it is not the last line, the process proceeds to a process of reading the next line of the entire netlist (step S23).

【0047】全ネットリストの次の行を読む処理(ステ
ップS23)では、今読み込んでいる全ネットリストの
次の行を読み込む。そして、全ネットリストから読み込
んだ行に記述している信号名が同一であるかを判断する
処理(ステップS20)に移る。
In the process of reading the next line of the all netlist (step S23), the next line of the currently read all netlist is read. Then, the process proceeds to a process of determining whether the signal names described in the lines read from all netlists are the same (step S20).

【0048】次に、論理記述信号名検証処理手段6の動
作について図5の流れ図を用いて詳細に説明する。図5
は、図4において「全ネットリスト」とあるのを「全論
理記述」と読み替えたものになっており、流れ自体は同
一である。
Next, the operation of the logic description signal name verification processing means 6 will be described in detail with reference to the flowchart of FIG. FIG.
In FIG. 4, "all netlists" is replaced with "all logical descriptions", and the flow itself is the same.

【0049】図5における全論理記述とは、論理合成手
段1の入力になる論理記述Aと、論理記述信号名変換処
理手段6から出力された論理記述F1,F2・・・をい
う。本論理記述信号名検証処理は、全論理記述の最初の
行を読む処理(ステップS24),全論理記述の信号名
が同一であるか判断する処理(ステップS25),信号
名を羅列して出力する処理(ステップS26),全論理
記述から読み込んだ行が最終行であるかを判断する処理
(ステップS27)および全論理記述の次の行を読む処
理(ステップS28)から構成されている。
All the logical descriptions in FIG. 5 are a logical description A input to the logical synthesizing means 1 and logical descriptions F1, F2... Output from the logical description signal name conversion processing means 6. The logic description signal name verification process reads the first line of all logic descriptions (step S24), determines whether the signal names of all logic descriptions are the same (step S25), lists and outputs the signal names (Step S26), a process of determining whether the line read from the entire logical description is the last line (step S27), and a process of reading the next line of the entire logical description (step S28).

【0050】次に、処理の手段について説明する。最初
に、全論理記述の最初の行を読む処理(ステップS2
4)を行う。全論理記述の最初の行を読む処理(ステッ
プS24)では、全論理記述から最初の行(1行目)を
読む。そして、全論理記述から読み込んだ行に記述して
いる信号名が同一であるかを判断する処理(ステップS
25)に移る。全論理記述から読み込んだ行に記述して
いる信号名が同一であるかを判断する処理(ステップS
25)では、全論理記述から読み込んだ行に記述してい
る信号名が同一であるかを判断する。そして、信号名が
同一である場合は全論理記述から読み込んだ行が最終行
であるかを判断する処理(ステップS27)に移り、一
方、信号名が同一でない場合は信号名を羅列して出力す
る処理(ステップS26)に移る。
Next, processing means will be described. First, a process of reading the first line of the entire logical description (step S2)
Perform 4). In the process of reading the first line of the entire logical description (step S24), the first line (first line) is read from the entire logical description. Then, it is determined whether or not the signal names described in the lines read from all the logical descriptions are the same (Step S).
Move to 25). Processing to determine whether the signal names described in the lines read from all logical descriptions are the same (step S
In 25), it is determined whether or not the signal names described in the lines read from all the logical descriptions are the same. If the signal names are the same, the process proceeds to a step of determining whether the line read from all the logical descriptions is the last line (step S27). If the signal names are not the same, the signal names are listed and output. Then, the process proceeds to step S26.

【0051】信号名を羅列して出力する処理(ステップ
S26)では、全論理記述から信号名記述部分を羅列し
て出力する。そして、全論理記述から読み込んだ行が最
終行であるかを判断する処理(ステップS28)に移
る。
In the process of listing and outputting signal names (step S26), signal name description portions are listed and output from all logical descriptions. Then, the process proceeds to a process of determining whether the line read from the entire logical description is the last line (step S28).

【0052】全論理記述から読み込んだ行が最終行であ
るかを判断する処理(ステップS27)では、全論理記
述から読み込んだ行が最終行であるかを判断する。最終
行である場合は本処理を終了し、一方、最終行でない場
合は全論理記述の次の行を読む処理(ステップS28)
に移る。
In the process of determining whether the line read from all logical descriptions is the last line (step S27), it is determined whether the line read from all logical descriptions is the last line. If it is the last line, this process ends. On the other hand, if it is not the last line, the process reads the next line of the entire logical description (step S28).
Move on to

【0053】全論理記述の次の行を読む処理(ステップ
S28)では、今読み込んでいる全論理記述の次の行を
読み込む。そして、全論理記述から読み込んだ行に記述
している信号名が同一であるかを判断する処理(ステッ
プS25)に移る。
In the process of reading the next line of the entire logical description (step S28), the next line of the currently read logical description is read. Then, the process proceeds to a process of determining whether the signal names described in the lines read from all the logical descriptions are the same (step S25).

【0054】次に、ネットリスト信号名違いファイル検
証処理手段7の動作について図6の流れ図を用いて詳細
に説明する。
Next, the operation of the netlist signal name difference file verification processing means 7 will be described in detail with reference to the flowchart of FIG.

【0055】本信号名違ファイル検証処理は、ネットリ
スト信号名違いファイルの最初の行を読む処理(ステッ
プS29),論理記述信号名違いファイルの最初の行を
読み込む処理(ステップS30),読み込んだ行が同じ
内容か判断する処理(ステップS31),論理記述信号
名違いファイルから読み込んだ行が最終行か判断する処
理(ステップS32),エラーメッセージを出力する処
理(ステップS33),論理記述信号名違いファイルの
次の行を読む処理(ステップS34),ネットリスト信
号名違いファイルから読み込んだ行が最終行か判断する
処理(ステップS35)およびネットリスト信号名違い
ファイルの次の行を読む処理(ステップS36)から構
成されている。
In the signal name difference file verification process, the first line of the netlist signal name difference file is read (step S29), and the first line of the logical description signal name difference file is read (step S30). Processing to determine whether the lines have the same content (step S31), processing to determine whether the line read from the file with the different logical description signal name is the last line (step S32), processing to output an error message (step S33), difference in the logical description signal name The process of reading the next line of the file (Step S34), the process of determining whether the line read from the netlist signal name difference file is the last line (Step S35), and the process of reading the next line of the netlist signal name difference file (Step S36) ).

【0056】次に、処理の手順について説明する。最初
に、ネットリスト信号名違いファイルの最初の行を読む
処理(ステップS29)を行なう。ネットリスト信号名
ファイルの最初の行を読む処理(ステップS29)で
は、ネットリスト信号名違いファイルEの最初の行(1
行目)を読む。そして、論理記述信号名ファイルの最初
の行を読み込む処理(ステップS30)に移る。論理記
述信号名違いファイルの最初の行を読み込む処理(ステ
ップS30)では、論理記述信号名違いファイルGの最
初の行(1行目)を読み込む、そして、読み込んだ行が
同じ内容か判断する処理(ステップS31)に移る。
Next, the processing procedure will be described. First, a process of reading the first line of the netlist signal name difference file (step S29) is performed. In the process of reading the first line of the netlist signal name file (step S29), the first line (1
Line). Then, the process proceeds to the process of reading the first line of the logical description signal name file (step S30). In the process of reading the first line of the logical description signal difference file (step S30), the first line (first line) of the logical description signal difference file G is read, and it is determined whether the read lines have the same contents. Move to (Step S31).

【0057】読み込んだ行が同じ内容か判断する処理
(ステップS31)では、読み込んだ行が同じ内容か判
断する。読み込んだ行が同じの場合はネットリスト信号
名違いファイルEから読み込んだ行が最終行か判断する
処理(ステップS35)に移り、一方、読み込んだ行が
同じでない場合は論理記述信号名違いファイルGから読
み込んだ行が最終行か判断する処理(ステップS32)
に移る。
In the process of determining whether the read lines have the same contents (step S31), it is determined whether the read lines have the same contents. If the read lines are the same, the process proceeds to a step of determining whether the line read from the netlist signal name difference file E is the last line (step S35). If the read lines are not the same, the logical description signal name difference file G is used. Processing to judge whether the read line is the last line (step S32)
Move on to

【0058】論理記述信号名違いファイルから読み込ん
だ行が最終行か判断する処理(ステップS32)では、
論理記述信号名違いファイルGから読み込んだ行が最終
行の場合はエラーメッセージを出力する処理(ステップ
S33)に移り、最終行でない場合は論理記述言語信号
名ファイルの次の行を読む処理(ステップS34)に移
る。
In the process of determining whether the line read from the logical description signal name difference file is the last line (step S32),
If the line read from the logical description signal name difference file G is the last line, the process proceeds to the process of outputting an error message (step S33). If not, the process reads the next line of the logical description language signal name file (step S33). Move to S34).

【0059】エラーメッセージを出力する処理(ステッ
プS33)では、エラーを出力して、ネットリスト信号
名ファイルから読み込んだ行が最終行か判断する処理
(ステップS35)に移る。 論理記述信号名違いファ
イルの次の行を読む処理(ステップS34)では、論理
記述信号名ファイル違いから次の行を読み、読み込んだ
行が同じ内容か判断する処理(ステップS31)に移
る。
In the process of outputting an error message (step S33), an error is output, and the process proceeds to a process of determining whether the line read from the netlist signal name file is the last line (step S35). In the process of reading the next line of the logical description signal name difference file (step S34), the process proceeds to the process of reading the next line from the logical description signal name file difference and determining whether the read lines have the same content (step S31).

【0060】ネットリスト信号名違いファイルから読み
込んだ行が最終行か判断する処理(ステップS35)で
は、ネットリスト信号名違いファイルEから読み込んだ
行が最終行の場合は本処理を終了し、最終行でない場合
はネットリスト信号名違いファイルの次の行を読む処理
(ステップS36)に移る。
In the process of judging whether the line read from the netlist signal name difference file is the last line (step S35), if the line read from the netlist signal name difference file E is the last line, the process is terminated. If not, the process proceeds to the process of reading the next line of the netlist signal name difference file (step S36).

【0061】ネットリスト信号名違いファイルの次の行
を読む処理(ステップS36)では、ネットリスト信号
名ファイル違いから次の行を読み、論理記述信号名ファ
イルの最初の行を読み込む処理(ステップS30)に移
る。
In the process of reading the next line of the netlist signal name difference file (step S36), the next line is read from the netlist signal name difference and the first line of the logical description signal name file is read (step S30). Move to).

【0062】次に、本発明による論理合成・検証につい
て具体例を示して説明する。図7は、信号AA1と信号
AA2との論理積演算の行い、信号AA3を得るとい
う、論理回路情報を記述した信号名Aについての論理記
述Aの具体例を示す。この論理記述Aに対して論理合成
手段1による論理合成後のネットリストBは図8のとお
りであって、論理はAND、入力端子1には信号AA
1、入力端子2には信号A2、出力端子には信号AA3
が接続されるという、回路接続関係を表現している。ま
た、この例に使用する信号名対応カードファイルCの具
体例を図9に示す。図9では、信号名AAAに対応する
信号名として、BBB,CCCおよびDDDの3つの信
号名を羅列している。したがって、信号AA1,AA
2,AA3に対して、信号名BBBでは信号BB1,B
B2,BB3、信号名CCCでは信号CC1,CC2,
CC3、信号名DDDでは信号DD1,DD2,DD3
のそれぞれが対応する。
Next, the logic synthesis and verification according to the present invention will be described with reference to specific examples. FIG. 7 shows a specific example of a logical description A for a signal name A describing logical circuit information, in which a logical AND operation of the signals AA1 and AA2 is performed to obtain a signal AA3. FIG. 8 shows a netlist B after logic synthesis by the logic synthesis means 1 with respect to the logic description A. The logic is AND, and the input terminal 1 has a signal AA.
1, input terminal 2 has signal A2, and output terminal has signal AA3.
Are connected, which represents a circuit connection relationship. FIG. 9 shows a specific example of the signal name corresponding card file C used in this example. In FIG. 9, three signal names BBB, CCC and DDD are listed as signal names corresponding to the signal name AAA. Therefore, the signals AA1, AA
2 and AA3, the signals BB1 and B
B2, BB3, and the signal name CCC, the signals CC1, CC2,
CC3, signal name DDD, signals DD1, DD2, DD3
Correspond to each other.

【0063】ネットリスト信号変換処理手段3において
は、図2に示したとおり、先ず、ネットリストB(図
8)の最初の行「DID AAA」を読み(ステップS
1)、信号名対応カードファイルC(図9)の最初の行
「AAA;BBB;CCC;DDD;」を読む(ステッ
プS2)。ネットリストBの信号名と信号名対応カード
ファイルCの信号名を比較し(ステップS3)、その結
果、信号名AAAが双方に定義されていて一致するの
で,その信号名AAAを信号名BBBに変換したネット
リストD1(図10(1)),信号名AAAを信号名C
CCに変換したネットリストD2(図10(2))およ
び信号名AAAを信号名DDDに変換したネットリスト
D3(図10(3))を出力する(ステップS5)。そ
して、ネットリストBは、まだ終わりではないので(ス
テップS8)、ネットリストBの次の行「RONRI
AND」を読む(ステップS9)。
As shown in FIG. 2, the netlist signal conversion processing means 3 first reads the first line "DID AAA" of the netlist B (FIG. 8) (step S2).
1) Read the first line "AAA;BBB;CCC;DDD;" of the signal name corresponding card file C (FIG. 9) (step S2). The signal name of the netlist B is compared with the signal name of the card file C corresponding to the signal name (step S3). As a result, since the signal name AAA is defined and coincides with both, the signal name AAA is changed to the signal name BBB. The converted netlist D1 (FIG. 10 (1)) and the signal name AAA are replaced with the signal name C
The netlist D2 (FIG. 10 (2)) converted to CC and the netlist D3 (FIG. 10 (3)) converted from the signal name AAA to the signal name DDD are output (step S5). Then, since the net list B is not over yet (step S8), the next line of the net list B “RONRI
And "is read (step S9).

【0064】再び、信号名対応カードファイルCの最初
の行「AAA;BBB;CCC;DDD;」を読み(ス
テップS2)、ネットリストBの信号名と比較する(ス
テップS3)が、今度は一致せず、また信号名対応カー
ドファイルCの終わりではないので(ステップS4)、
信号名対応カードファイルCの次の行「AA1;BB
1;CC1;DD1;」を読み(ステップS6)、ネッ
トリストBの信号名と比較する(ステップS3)。しか
し、信号名対応カードファイルCの最後の行「AA3;
BB3;CC;DD3;」まで読んでもネットリストB
の2つめの行の信号名と一致することはないので、ネッ
トリストBの2つめの行「RONRI AND」の信号
名はそのままネットリストD1,D2,D3に出力する
(ステップS7)。
Again, the first line "AAA;BBB;CCC;DDD;" of the signal name corresponding card file C is read (step S2) and compared with the signal name of the netlist B (step S3). No, and it is not the end of the signal name corresponding card file C (step S4),
The next line "AA1;BB" of the card file C corresponding to the signal name
1; CC1; DD1; "is read (step S6) and compared with the signal names of the netlist B (step S3). However, the last line “AA3;
BB3; CC; DD3; "
The signal names in the second row "RONRI AND" of the netlist B are output to the netlists D1, D2, and D3 as they are (step S7).

【0065】次に、ネットリストBの3行目(「INP
UT1;AA1;)を読み(ステップS9)、信号名対
応カードファイルCの最初の行「AAA;BBB;CC
C;DDD;」を読む(ステップS2)。ネットリスト
Bに定義している信号名「AA1」は、今読んでいる信
号名対応カードファイルCの行に定義してないので(ス
テップS3)、信号名対応カードファイルCに定義があ
る、または信号名対応カードファイルCの最後の行まで
順に信号名対応カードファイルCを読む(ステップS
3,S4)。ネットリストBに定義している信号名「A
A1」は、信号名対応カードファイルCの2行目「AA
1;BB1;CC1;DD1;」に定義してあるので、
ネットリストBの信号名「AA1」を「BB1,CC
1,DD1」にそれぞれ変換して、ネットリストD1,
D2,D3に「INPUT;BB1;」,「INPU
T;CC1」,「INPUT;DD1」を出力する(ス
テップS5)。
Next, the third line of the netlist B (“INP
UT1; AA1;) is read (step S9), and the first line "AAA;BBB;CC" of the signal name corresponding card file C is read.
C; DDD; "(step S2). Since the signal name “AA1” defined in the netlist B is not defined in the line of the signal name corresponding card file C currently being read (step S3), there is a definition in the signal name corresponding card file C, or The signal name corresponding card file C is read in order up to the last line of the signal name corresponding card file C (step S).
3, S4). The signal name “A” defined in the netlist B
“A1” is the second line “AA” of the signal name corresponding card file C.
1; BB1; CC1; DD1; "
The signal name “AA1” of the netlist B is changed to “BB1, CC
1, DD1 ”, and the netlist D1,
"INPUT;BB1;" and "INPU" in D2 and D3.
T; CC1 "and"INPUT; DD1 "are output (step S5).

【0066】ネットリストBの4行目以降の行について
も、図2のフローチャートに沿って、以上の処理をネッ
トリストBの最後の行に到るまで行うと(ステップS
8)、ネットリストD1,D2,D3は図10に示すよ
うになる。図10を図8と比較すると、信号名AAA,
BBB,CCC,DDDだけの相違であることがわか
る。
For the fourth and subsequent rows of the netlist B, the above processing is performed according to the flowchart of FIG. 2 until the last row of the netlist B is reached (step S).
8), the netlists D1, D2, D3 are as shown in FIG. When FIG. 10 is compared with FIG. 8, the signal names AAA,
It can be seen that the difference is only BBB, CCC and DDD.

【0067】論理記述信号名変換処理手段4は、論理記
述Aについて、図3に示したように、上述のネットリス
ト信号名変換処理手段3による信号名変換処理(図2)
と同様な変換処理を行う。その結果、論理記述Aの信号
名AAAを信号名BBBに変換した論理記述F1(図1
1(1)),論理記述Aの信号名AAAを信号名CCC
に変換した論理記述F2(図11(2))および論理記
述Aの信号名AAAを信号名DDDに変換した論理記述
F3(図11(3))を得ることができる。
The logical description signal name conversion processing means 4 performs the signal name conversion processing for the logical description A by the above-described netlist signal name conversion processing means 3 as shown in FIG. 3 (FIG. 2).
The same conversion processing as described above is performed. As a result, a logical description F1 (FIG. 1) obtained by converting the signal name AAA of the logical description A into the signal name BBB.
1 (1)), the signal name AAA of the logical description A is changed to the signal name CCC
A logical description F2 (FIG. 11 (2)) converted into a logical description F3 (FIG. 11 (3)) obtained by converting the signal name AAA of the logical description A into a signal name DDD can be obtained.

【0068】次に、ネットリスト信号名検証手段5は、
全ネットリスト、すなわち、ネットリストB,D1,D
2,D3について、図4に示したように、異なる信号名
を羅列したネットリスト信号名違いファイルE(図1
2)を出力する。図4において、全ネットリストの最初
の行、つまり、ネットリストBの1行目「DID AA
A」,ネットリストD1の1行目「DID BBB」,
ネットリストD2の1行目「DID CCC」、ネット
リストD3の1行目「DID DDD」を読み(ステッ
プS19)、その結果、信号名は同じでない(ステップ
S20)ので、ネットリスト信号名違いファイルEに信
号名を「AAA;BBB;CCC;DDD;」と羅列す
る(ステップS21)。
Next, the netlist signal name verification means 5
All netlists, ie, netlists B, D1, D
2 and D3, as shown in FIG. 4, a netlist signal name difference file E (FIG.
Output 2). In FIG. 4, the first line of all netlists, that is, the first line of netlist B “DID AA
A ", the first line" DID BBB "of the netlist D1,
The first line "DID CCC" of the netlist D2 and the first line "DID DDD" of the netlist D3 are read (step S19). As a result, the signal names are not the same (step S20). The signal names are listed in E as "AAA;BBB;CCC;DDD;" (step S21).

【0069】ネットリストは終わりではないので(ステ
ップS22)、全ネットリストの次の行を読む(ステッ
プS23)と、全ネットリストB,D1,D2,D3に
「RONRI;AND;」と定義されているので、何も
せず、次のステップに移行する(ステップS20)。
Since the netlist is not the end (step S22), when the next line of the entire netlist is read (step S23), "RONRI;AND;" is defined in all the netlists B, D1, D2 and D3. Therefore, the process goes to the next step without doing anything (step S20).

【0070】全ネットリストの3行目以降についても、
図4のフロチャートに沿って、以上と同様な処理を行う
と、図12に示すようなネットリスト信号名違いファイ
ルEを得ることができる。
For the third and subsequent lines of the netlist,
By performing the same processing as described above along the flowchart of FIG. 4, a netlist signal name difference file E as shown in FIG. 12 can be obtained.

【0071】論理記述信号名検証手段6は、全論理記
述、すなわち、論理記述A,論理記述F1,論理記述F
2および論理記述F3について、図5に示したように、
上述のネットリスト信号名検証手段5による信号名検証
処理(図4)と同様な処理を行う。その結果、異なる信
号名を羅列した論理記述信号名違いファイルG(図1
3)を出力する。図13を図12と対比すれば明らかな
ように、同一であるが、これは検証結果が正常な場合で
ある。
The logical description signal name verification means 6 performs all logical descriptions, that is, a logical description A, a logical description F1, and a logical description F.
2 and the logical description F3, as shown in FIG.
The same processing as the signal name verification processing (FIG. 4) by the netlist signal name verification means 5 is performed. As a result, the logic description signal difference file G (FIG. 1) in which different signal names are listed.
3) is output. As is clear from comparison of FIG. 13 with FIG. 12, the results are the same, but this is the case where the verification result is normal.

【0072】信号名違いファイル検証処理手段7は、図
6に示したように、ネットリスト信号名違いファイルE
(図12)と論理記述信号名違いファイルG(図13)
との内容を比較することによって、論理検証処理を完結
する。すなわち、両ファイルを1行づつ読んでいき(ス
テップS29,S30)、読んだ内容が違う場合には
(ステップS31)、論理記述信号名違いファイルGの
最後の行まで読み(ステップS32,S34)、それで
も読んだ内容が一致しないならエラーメッセージを出力
する(ステップS33)。これは、ネットリストと論理
記述について、上述のように、同じ信号名対応カードフ
ァイルによって信号名を変換したのであるから、信号名
の変換処理が正常に行われていれば、図12および図1
3に示した如く、双方が一致する筈であることを論拠と
するものである。
As shown in FIG. 6, the signal name difference file verification processing means 7 outputs the netlist signal name difference file E
(FIG. 12) and file G (FIG. 13) with a difference in logic description signal name
The logic verification process is completed by comparing the contents of That is, both files are read line by line (steps S29 and S30). If the read contents are different (step S31), the files are read up to the last line of the logical description signal difference file G (steps S32 and S34). If the read contents still do not match, an error message is output (step S33). This is because the signal names of the netlist and the logical description are converted by the same signal name-compatible card file as described above.
As shown in FIG. 3, it is based on the fact that both should agree.

【0073】なお、上述した論理合成方法および論理検
証方法をコンピュータで実行するためのプログラムを半
導体メモリ、磁気ディスク等のコンピュータ読み込み可
能な記録媒体に記憶してコンピュータに読み込ませ実行
させるようにしてもよい。そのようなプログラムは、図
1に示した論理合成手段1,論理検証手段2,ネットリ
スト信号名変換処理手段3,論理記述信号名変換手段
4,ネットリスト信号名検証処理手段5,論理記述信号
名検証処理手段6および信号名違いファイル検証処理手
段7と同様な機能をコンピュータに発揮させるように作
用する。
A program for executing the above-described logic synthesis method and logic verification method on a computer may be stored in a computer-readable recording medium such as a semiconductor memory or a magnetic disk and read and executed by the computer. Good. Such a program includes the logic synthesis means 1, the logic verification means 2, the netlist signal name conversion processing means 3, the logic description signal name conversion means 4, the netlist signal name verification processing means 5, and the logic description signal shown in FIG. It operates so that the computer performs the same function as the name verification processing means 6 and the signal name difference file verification processing means 7.

【0074】[0074]

【発明の効果】本発明の第1の効果は、1種類の論理記
述から複数のネットリストを作成する論理合成作業の工
数を軽減できるということである。その理由は、論理記
述言語を1つ作成し論理合成作業を1度行い、後は信号
名対応カードファイルを入力として信号名変換処理によ
り複数のネットリストを作成することが可能になったか
らである。
A first effect of the present invention is that the number of steps of a logic synthesis operation for creating a plurality of netlists from one type of logical description can be reduced. The reason is that it is possible to create one logic description language, perform a logic synthesis operation once, and then create a plurality of netlists by signal name conversion processing using a signal name corresponding card file as an input. .

【0075】また、本発明の第2の効果は、1種類の論
理記述と複数のネットリストとの間の整合性を検証する
論理検証作業の工数を軽減できるということである。そ
の理由は、1種類の基本となる論理記述から作成された
基本となるネットリストと、基本となる論理記述との間
の整合性を検証する論理検証を1度だけ行い、後は基本
となる論理記述,基本となるネットリストそれぞれにつ
いて信号名を変換したた論理記述,ネットリストの信号
名の違いを検出し、両者の間の整合性を検証するように
したからである。
A second effect of the present invention is that the number of steps of a logic verification operation for verifying consistency between one type of logic description and a plurality of netlists can be reduced. The reason is that logic verification for verifying consistency between a basic netlist created from one type of basic logical description and a basic logical description is performed only once, and the rest is basic. This is because a difference between the signal name of the logical description and the signal name of the netlist which is obtained by converting the signal name of each of the basic netlists is detected, and the consistency between the two is verified.

【0076】さらに、上述の2つの効果は、論理の変更
が生じた場合に、ネットリストの作成と論理検証の作業
工数を軽減し、また、人手作業の介入による修正ミスが
削減できるという波及効果をもたらす。
Further, the above two effects have a ripple effect that, when a logic change occurs, the number of man-hours for creating a netlist and verifying the logic can be reduced, and correction errors due to manual intervention can be reduced. Bring.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施の形態のブロック図FIG. 1 is a block diagram of an embodiment of the present invention.

【図2】図1に示した実施の形態におけるネットリスト
信号名変換処理手段3の流れ図
FIG. 2 is a flowchart of a netlist signal name conversion processing means 3 in the embodiment shown in FIG. 1;

【図3】図1に示した実施の形態における論理記述信号
名変換処理手段4の流れ図
FIG. 3 is a flowchart of a logical description signal name conversion processing means 4 in the embodiment shown in FIG. 1;

【図4】図1に示した実施の形態におけるネットリスト
信号名検証処理手段5の流れ図
FIG. 4 is a flowchart of a netlist signal name verification processing means 5 in the embodiment shown in FIG. 1;

【図5】図1に示した実施の形態における論理記述信号
名検証手段6の流れ図
FIG. 5 is a flowchart of a logic description signal name verification unit 6 in the embodiment shown in FIG. 1;

【図6】図1に示した実施の形態における信号名違いフ
ァイル検証手段7の流れ図
FIG. 6 is a flowchart of a signal name difference file verifying means 7 in the embodiment shown in FIG. 1;

【図7】論理記述Aの具体例を示す図FIG. 7 is a diagram showing a specific example of a logical description A;

【図8】ネットリストBの具体例を示す図FIG. 8 is a diagram showing a specific example of a netlist B;

【図9】信号名対応カードファイルCの具体例を示す図FIG. 9 is a diagram showing a specific example of a card file C corresponding to a signal name;

【図10】信号名変換後のネットリストDの具体例を示
す図
FIG. 10 is a diagram showing a specific example of a netlist D after signal name conversion;

【図11】信号名変換後の論理記述Fの具体例を示す図FIG. 11 is a diagram showing a specific example of a logical description F after signal name conversion;

【図12】ネットリスト信号名違いファイルEの具体例
を示す図
FIG. 12 is a diagram showing a specific example of a netlist signal name difference file E;

【図13】論理記述信号名違いファイルGの具体例を示
す図
FIG. 13 is a diagram showing a specific example of a logical description signal name difference file G;

【図14】従来の論理合成・検証システムの一例を示す
ブロック図
FIG. 14 is a block diagram showing an example of a conventional logic synthesis / verification system.

【符号の説明】[Explanation of symbols]

1 論理合成手段 2 論理検証手段 3 ネットリスト信号名変換処理手段 4 論理記述信号名変換処理手段 5 ネットリスト信号名検証手段 6 論理記述信号名検証手段 7 信号名違いファイルの検証手段 A 論理記述 B ネットリスト C 信号名対応カードファイル D1 ネットリスト D2 ネットリスト E ネットリスト信号名違いファイル F1 論理記述 F2 論理記述 G 論理記述信号名違いファイル DESCRIPTION OF SYMBOLS 1 Logic synthesis means 2 Logic verification means 3 Netlist signal name conversion processing means 4 Logic description signal name conversion processing means 5 Netlist signal name verification means 6 Logic description signal name verification means 7 Verification means of signal name difference file A Logic description B Netlist C Signal name corresponding card file D1 Netlist D2 Netlist E Netlist signal name difference file F1 Logic description F2 Logic description G Logic description signal name difference file

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 1種類の論理記述から信号名が異なる複
数のネットリストを作成する論理合成装置において、 前記論理記述からネットリストを作成する論理合成手段
と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記ネ
ットリスト中の信号名を変換することにより、前記異な
る信号名対応のネットリストを作成するネットリスト信
号名変換処理手段と備えたことを特徴とする論理合成装
置。
1. A logic synthesis device for creating a plurality of netlists having different signal names from one type of logic description, comprising: logic synthesis means for creating a netlist from the logic description; Netlist signal name conversion processing means for creating a netlist corresponding to the different signal names by converting signal names in the netlist in accordance with a signal name corresponding card file listing the different signal names. A logic synthesis device characterized by the above.
【請求項2】 1種類の論理記述から信号名が異なる複
数のネットリストを作成し、該作成したネットリストの
論理検証を行なう論理検証装置において、 前記論理記述からネットリストを作成する論理合成手段
と、 前記論理記述と該ネットリストとの間の整合性を検証す
る論理検証手段と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記ネ
ットリスト中の信号名を変換することにより、前記異な
る信号名対応のネットリストを作成するネットリスト信
号名変換処理手段と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記論
理記述中の信号名を変換することにより、前記異なる信
号名対応の論理記述を作成する論理記述信号名変換処理
手段と、 前記論理記述から作成されたネットリストと前記信号名
変換後のネットリストとの間の信号名の違いを検出し
て、その信号名を羅列したネットリスト信号名違いファ
イルを出力するネットリスト信号名検証手段と、 前記論理記述と前記信号名変換後の論理記述との間の信
号名の違いを検出して、その信号名を羅列した論理記述
信号名違いファイルを出力する論理記述信号名検証手段
と、 前記ネットリスト信号名違いファイルと前記論理記述信
号名違いファイルとの間の整合性を検証する信号名違い
ファイル検証手段とを備えたことを特徴とする論理検証
装置。
2. A logic verification device for creating a plurality of netlists having different signal names from one type of logic description and performing logic verification of the created netlist, wherein a logic synthesis unit creates a netlist from the logic description. Logic verification means for verifying consistency between the logical description and the netlist; and the netlist according to a signal name correspondence card file listing the different signal names corresponding to signal names in the netlist. Netlist signal name conversion processing means for creating a netlist corresponding to the different signal names by converting the signal names in the signal names, and a signal name correspondence card listing the different signal names in correspondence with the signal names in the netlist A logical description for creating a logical description corresponding to the different signal names by converting the signal names in the logical description according to a file. Signal name conversion processing means, a netlist signal name difference file in which a signal name difference between a netlist created from the logical description and a netlist after the signal name conversion is detected and the signal names are listed And a netlist signal name verification unit that outputs a logical description signal name difference file in which the signal names are detected between the logical description and the logical description after the signal name conversion. Logic verification signal verification means for verifying the consistency between the netlist signal name difference file and the logic description signal name difference file. apparatus.
【請求項3】 前記信号名違いファイル検証手段におい
ては、前記ネットリスト信号名違いファイル内の信号名
が前記論理記述信号名違いファイル中に存在しない場合
に、エラーメッセージを出力することを特徴とする請求
項2記載の論理検証装置。
3. The signal name difference file verification means outputs an error message when a signal name in the netlist signal name difference file does not exist in the logical description signal name difference file. The logic verification device according to claim 2, wherein
【請求項4】 1種類の論理記述から信号名が異なる複
数のネットリストを作成する論理合成方法において、 前記論理記述からネットリストを作成する論理合成手順
と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記ネ
ットリスト中の信号名を変換することにより、前記異な
る信号名対応のネットリストを作成するネットリスト信
号名変換処理手順とを有することを特徴とする論理合成
方法。
4. A logic synthesis method for creating a plurality of netlists having different signal names from one type of logic description, comprising: a logic synthesis procedure for creating a netlist from the logic description; and a correspondence between signal names in the netlist. A netlist signal name conversion process for creating the netlist corresponding to the different signal names by converting the signal names in the netlist according to the signal name corresponding card file listing the different signal names. A logic synthesis method characterized by the following.
【請求項5】 1種類の論理記述から信号名が異なる複
数のネットリストを作成し、該作成したネットリストの
論理検証を行なう論理検証方法において、 前記論理記述からネットリストを作成する論理合成手順
と、 前記論理記述と該ネットリストとの間の整合性を検証す
る論理検証手順と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記ネ
ットリスト中の信号名を変換することにより、前記異な
る信号名対応のネットリストを作成するネットリスト信
号名変換処理手順と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記論
理記述中の信号名を変換することにより、前記異なる信
号名対応の論理記述を作成する論理記述信号名変換処理
手順と、 前記論理記述から作成されたネットリストと前記信号名
変換後のネットリストとの間の信号名の違いを検出し
て、その信号名を羅列したネットリスト信号名違いファ
イルを出力するネットリスト信号名検証手順と、 前記論理記述と前記信号名変換後の論理記述との間の信
号名の違いを検出して、その信号名を羅列した論理記述
信号名違いファイルを出力する論理記述信号名検証手順
と、 前記ネットリスト信号名違いファイルと前記論理記述信
号名違いファイルとの間の整合性を検証する信号名違い
ファイル検証手順とを有することを特徴とする論理検証
方法。
5. A logic verification method for creating a plurality of netlists having different signal names from one type of logic description and performing logic verification of the created netlist, wherein a logic synthesis procedure for creating a netlist from the logic description A logic verification procedure for verifying consistency between the logic description and the netlist; and the netlist according to a signal name correspondence card file listing the different signal names corresponding to signal names in the netlist. A netlist signal name conversion processing procedure for creating a netlist corresponding to the different signal names by converting signal names in the inside, and a signal name correspondence card listing the different signal names corresponding to the signal names in the netlist A logical description that creates a logical description corresponding to the different signal names by converting signal names in the logical description according to a file. A signal name conversion processing procedure, a netlist signal name difference file in which a signal name difference between a netlist created from the logical description and the netlist after the signal name conversion is detected and the signal names are listed A netlist signal name verifying procedure for outputting a signal name difference between the logic description and the logic description after the signal name conversion, and outputting a logic description signal name difference file listing the signal names A logic description signal name verification procedure, and a signal name difference file verification procedure for verifying consistency between the netlist signal name difference file and the logic description signal name difference file. .
【請求項6】 前記信号名違いファイル検証手順におい
ては、前記ネットリスト信号名違いファイル内の信号名
が前記論理記述信号名違いファイル中に存在しない場合
に、エラーメッセージを出力することを特徴とする請求
項5記載の論理検証方法。
6. In the signal name difference file verification procedure, an error message is output when a signal name in the netlist signal name difference file does not exist in the logical description signal name difference file. The logic verification method according to claim 5, wherein
【請求項7】 1種類の論理記述から信号名が異なる複
数のネットリストを作成する論理合成方法であって、 前記論理記述からネットリストを作成する論理合成手順
と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記ネ
ットリスト中の信号名を変換することにより、前記異な
る信号名対応のネットリストを作成するネットリスト信
号名変換処理手順とを有することを特徴とする論理合成
方法をコンピュータに実行させるためのプログラムを記
憶したコンピュータ読み込み可能な記録媒体。
7. A logic synthesis method for creating a plurality of netlists having different signal names from one type of logic description, comprising: a logic synthesis procedure for creating a netlist from the logic description; and a signal name in the netlist. A netlist signal name conversion process for creating a netlist corresponding to the different signal names by converting the signal names in the netlist according to the signal name corresponding card file listing the different signal names in a corresponding manner. A computer-readable recording medium storing a program for causing a computer to execute the logic synthesis method characterized by having the above.
【請求項8】 1種類の論理記述から信号名が異なる複
数のネットリストを作成し、該作成したネットリストの
論理検証を行なう論理検証方法であって、 前記論理記述からネットリストを作成する論理合成手順
と、 前記論理記述と該ネットリストとの間の整合性を検証す
る論理検証手順と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記ネ
ットリスト中の信号名を変換することにより、前記異な
る信号名対応のネットリストを作成するネットリスト信
号名変換処理手順と、 該ネットリスト中の信号名対応に前記異なる信号名を羅
列した信号名対応カードファイルにしたがって、前記論
理記述中の信号名を変換することにより、前記異なる信
号名対応の論理記述を作成する論理記述信号名変換処理
手順と、 前記論理記述から作成されたネットリストと前記信号名
変換後のネットリストとの間の信号名の違いを検出し
て、その信号名を羅列したネットリスト信号名違いファ
イルを出力するネットリスト信号名検証手順と、 前記論理記述と前記信号名変換後の論理記述との間の信
号名の違いを検出して、その信号名を羅列した論理記述
信号名違いファイルを出力する論理記述信号名検証手順
と、 前記ネットリスト信号名違いファイルと前記論理記述信
号名違いファイルとの間の整合性を検証する信号名違い
ファイル検証手順とを有することを特徴とする論理検証
方法をコンピュータに実行させるためのプログラムを記
憶したコンピュータ読み込み可能な記録媒体。
8. A logic verification method for creating a plurality of netlists having different signal names from one type of logic description and performing logic verification of the created netlist, wherein a logic for creating a netlist from the logic description is provided. A synthesis procedure, a logic verification procedure for verifying the consistency between the logic description and the netlist, and a signal name correspondence card file listing the different signal names corresponding to the signal names in the netlist. A netlist signal name conversion processing procedure for creating a netlist corresponding to the different signal names by converting signal names in the netlist; and a signal name listing the different signal names corresponding to the signal names in the netlist. A logical description for creating a logical description corresponding to the different signal names by converting signal names in the logical description according to a corresponding card file. A signal name conversion processing procedure, a netlist signal name difference file in which a signal name difference between a netlist created from the logical description and a netlist after the signal name conversion is detected and the signal names are listed A netlist signal name verifying procedure for outputting a signal name difference between the logic description and the logic description after the signal name conversion, and outputting a logic description signal name difference file listing the signal names A logic description signal name verification procedure, and a signal name difference file verification procedure for verifying consistency between the netlist signal name difference file and the logic description signal name difference file. Computer-readable recording medium storing a program for causing a computer to execute the program.
JP26980299A 1999-09-24 1999-09-24 Device and method for synthesizing and verifying logic and recording medium Pending JP2001092869A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP26980299A JP2001092869A (en) 1999-09-24 1999-09-24 Device and method for synthesizing and verifying logic and recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP26980299A JP2001092869A (en) 1999-09-24 1999-09-24 Device and method for synthesizing and verifying logic and recording medium

Publications (1)

Publication Number Publication Date
JP2001092869A true JP2001092869A (en) 2001-04-06

Family

ID=17477384

Family Applications (1)

Application Number Title Priority Date Filing Date
JP26980299A Pending JP2001092869A (en) 1999-09-24 1999-09-24 Device and method for synthesizing and verifying logic and recording medium

Country Status (1)

Country Link
JP (1) JP2001092869A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7802211B2 (en) 2002-08-29 2010-09-21 Onespin Solutions Gmbh Method and device for verifying digital circuits

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7802211B2 (en) 2002-08-29 2010-09-21 Onespin Solutions Gmbh Method and device for verifying digital circuits

Similar Documents

Publication Publication Date Title
US7231627B2 (en) Merging a hardware design language source file with a separate assertion file
US8209648B1 (en) Verifying multiple constraints for circuit designs
JP4147842B2 (en) Logic verification system and method, logic cone extraction apparatus and method, logic verification and logic cone extraction program
JP2000242672A (en) Device and method for formal logic verification
US7770147B1 (en) Automatic generators for verilog programming
JP2001092869A (en) Device and method for synthesizing and verifying logic and recording medium
US7086017B1 (en) Method of post-implementation simulation of a HDL design
JP2000181942A (en) Automatic i/o buffer operation power source check system
JP4831375B2 (en) Verification device, verification method, and program
JP5265318B2 (en) Logic verification device
JP3196950B2 (en) Circuit information verification system
JP2967761B2 (en) Logic synthesis method and apparatus
US7913207B2 (en) Method and apparatus for verifying logic circuit
JP2001014371A (en) Device and method for designing circuit, and computer readable recording medium storing circuit design program
JP4983642B2 (en) Design verification program, design verification method, and design verification apparatus
JP3105782B2 (en) Logic generation method for electronic circuits
JP4770588B2 (en) Skeleton generation apparatus and method
US20230205969A1 (en) Techniques for modeling and verification of convergence for hierarchical domain crossings
JP3561661B2 (en) Semiconductor integrated circuit and method of designing semiconductor integrated circuit
US7895553B2 (en) Verification support method and apparatus, and computer product
JP2000305977A (en) Method for verifying logical data, logic verification system for executing the verification method and recording medium recording program for executing the verification method
JP3652220B2 (en) Logic circuit verification device, logic circuit verification method, and recording medium storing logic circuit verification program
JP2001318956A (en) Lsi logical design support system in consideration of layout design and its method
JP2003067442A (en) Verification device for asynchronous circuit timing and method for verification of timing
JP2000148807A (en) Logic synthesis system