JP2000506985A - 第1および第2クロック領域を具える集積回路と、このような回路を試験する方法 - Google Patents

第1および第2クロック領域を具える集積回路と、このような回路を試験する方法

Info

Publication number
JP2000506985A
JP2000506985A JP10526405A JP52640598A JP2000506985A JP 2000506985 A JP2000506985 A JP 2000506985A JP 10526405 A JP10526405 A JP 10526405A JP 52640598 A JP52640598 A JP 52640598A JP 2000506985 A JP2000506985 A JP 2000506985A
Authority
JP
Japan
Prior art keywords
seam
circuit
flip
clock
flop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10526405A
Other languages
English (en)
Other versions
JP3848686B2 (ja
Inventor
ヨハン コルネール メイールフェーデ
ヘラルダス アーノルダス アントニウス ボス
ヤコバス アドリアヌス マリア ヤコブス
ギローム エリザベス アンドレアス ラウスベルク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics NV filed Critical Philips Electronics NV
Publication of JP2000506985A publication Critical patent/JP2000506985A/ja
Application granted granted Critical
Publication of JP3848686B2 publication Critical patent/JP3848686B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 本発明は、多数の別々のクロック信号領域を具える集積回路に関係する。試験中にクロック領域を互いに分離できるようにするために、クロック領域間のインタフェース信号経路にシーム回路を設ける。各々のシーム回路は、マルチプレクサと、このマルチプレクサの第1入力部に供給するフリップフロップとを有するフィードバックループを具え、前記マルチプレクサの第2入力部をシーム入力部に接続し、前記フィードバックループの出力部を前記シーム回路の出力部に接続し、前記マルチプレクサの第1状態が、データビットの前記フィードバックループへの前記シーム入力部を経てのロードを可能にし、前記マルチプレクサの第2状態が、前記データビットを前記フィードバックループにおいて固定するようにした。

Description

【発明の詳細な説明】 第1および第2クロック領域を具える集積回路と、このような回路を試験する方 法 本発明は、第1および第2クロック信号によって各々制御される第1および第 2クロック領域を具え、前記第1および第2クロック領域をインタフェース信号 経路の組を経て相互接続し、これらの領域の各々がフリップフロップの各々の列 を具え、前記列の最初のフリップフロップが前記第1クロック領域において位置 し、前記列の最後のフリップフロップが前記第2クロック領域において位置し、 前記列を、データビットをそのフリップフロップに沿って前記第1クロック領域 から前記第2クロック領域に前記第1および第2クロック信号の制御の下で連続 的に移動するように配置した集積回路に関係する。 クロック領域は、素子(例えば、フリップフロップおよび結合論理素子)の組 を具え、1つのクロック信号の制御に従う。クロック領域は、しばしば、コア、 すなわち、多かれ少なかれ独立した機能ユニットと一致する。このときチップ設 計は、あるいは異なった製造業者によるコアの組み合わせである。結果として得 られる集積回路の通常モードに関して、データがあるコアから他のコアに伝送さ れる正確なサイクルは、前記設計が、通常、前記データを獲得するためのいくつ かのサイクルのウィンドウを許可するため、決定的ではない。しかしながら、試 験モードにおいて、あらゆるサイクルがすべて予測可能であることが重要である 。特に、前記クロック領域が前記チップにおいて異なった場所にある場合、異な ったコアを同期させることは困難な仕事であり、クロックスキューに関する余計 な問題を招くことが想像される。したがって、通常は、クロック領域は、互いに 異なる位相を有する。クロック領域内で、クロックスキューの危険性が最小にな るように、前記クロック信号の前記フリップフロップへの分配に関して特別に気 をつける。 前記第1および第2クロック領域間のインタフェース信号経路の存在は、前記 回路を試験する場合、問題を引き起こす。前記第2クロック領域を試験する間、 前記第1クロック領域は、新たなデータを発生し、それを前記インタフェース信 号経路を経て前記第2クロック領域に伝播させる。データが伝送される正確なサ イクルは、予測不可能である。したがって、新たなデータが前記第2クロック領 域において発生し、このクロック領域の試験と予測不可能に衝突する。 この問題は、前記クロック領域が試験性のために走査ベースの設計を有する場 合、特に重大である。走査ベース設計は、前記回路のフリップフロップが走査可 能であることを特徴とし、前記回路の意図された機能を実現する通常のデータ経 路の組に加えて、試験データ経路の組を設け、前記フリップフロップを縦続して 走査回路を形成することを意味する。走査回路は、本質的に、前記回路が走査試 験原理に従って試験することを可能にするように、そこに含まれるフリップフロ ップを連続的にロードおよびアンロードすることを可能にするシフトレジスタで ある。 前記走査試験原理は、以下のように働く。第1に、前記回路は走査状態になり 、その間、試験パターンは走査回路にシフトする。第2に、前記回路は実行状態 になり、その間、個々のクロック領域のクロック信号は1周期の間活性化し、前 記回路の入力信号は予め設定された値に保持される。したがって、前記ロードさ れた試験パターンおよび入力信号の影響の下で前記走査回路において応答パター ンが発生し、前記回路の通常のデータ経路における結合論理素子によって導かれ る。第3に、前記回路が再び走査状態になった後、前記応答パターンは評価のた めに前記走査回路からシフトする。この順序を、多数の試験パターンと入力信号 の組み合わせとに対して繰り返すことができる。失敗が生じない応答パターンか ら逸脱する応答パターンにおいて失敗が生じる。 しかしながら、前記第1クロック領域の走査回路において発生した応答パター ンは、前記第1および第2クロック領域間のインタフェース信号経路を経て前記 第2クロック領域に入力するインタフェース信号を変化させてしまう恐れがある 。前記2つのクロック領域が、通常、互いに異なる位相を有することから、前記 第2クロック領域のフリップフロップが、出力インタフェース信号の新たな値と 作用するか、初期値と作用するかは不確定であり、すなわち準安定状態に入る。 このように、予測不可能な応答パターンが、前記第2クロック領域において発生 す る。さらに、前記インタフェース信号経路それら自体を試験することはできない 。 この問題に対する解決法は、本願人が所有する米国特許明細書第500861 8号において記載されている。この既知の方法によって、前記クロック領域は、 逐次的に試験される。試験中のクロック領域に対する入力インタフェース信号は 、そのクロック領域のクロック信号のみが使用可能であり、他は使用不可能であ るため、変化しない。この先行技術の解決法の欠点は、クロックラインにおいて 追加の回路網が必要なことであり、したがって前記回路の速度が制限されてしま うことである。 本発明の目的は、どのようにインタフェース信号を制御するかについての問題 に対する解決法を、前記クロックラインに回路網を追加することなく提供するこ とである。この目的のために、本発明による回路は、前記インタフェース信号経 路の各々が、シーム入力部と、シーム出力部と、シームマルチプレクサおよび、 関連する列の一部であると共に走査回路の一部であるシームフリップフロップを 有するフィードバックループとを具える第1シーム回路を含み、前記シームフリ ップフロップが前記マルチプレクサの第1入力部に供給し、前記マルチプレクサ の第2入力部が前記シーム入力部を表し、前記フィードバックループの出力部が 前記シーム出力部を表し、前記マルチプレクサの第1状態が、データビットの前 記フィードバックループへの前記シーム入力部を経てのロードを可能にし、前記 マルチプレクサの第2状態が、前記データビットを前記フィードバックループに おいて固定するようにしたことを特徴とする。前記シーム回路は、送信する第1 クロック領域および受信する第2クロック領域間の制御可能クロック領域インタ フェースを提供する。前記集積回路の通常モードにおいて、前記シームマルチプ レクサは前記第1状態において動作し、各々のシーム回路は、そのシーム入力部 において与えられたデータビットをそのシーム出力部に通過させる。このように 、前記クロック領域インタフェースは、前記第1クロック領域から第2クロック 領域に進むインタフェース信号に対して透明であり、前記追加されたシーム回路 は、前記個々のインタフェース信号経路においていくらかの追加の遅延を引き起 こすだけである。前記集積回路の試験モードにおいて、前記第2状態のシームマ ルチプレクサは、前記シーム回路が前記出力インタフェース信号を容易に制御で きる ようにする。前記回路の実行状態中、前の走査状態中に前記走査回路を通って前 記フィードバックループに挿入されたデータビットを、前記シーム入力部に加え られた新たな信号に係わりなく、前記シーム出力部において変わらずに与える。 したがって、これらのようなシーム回路の助けを借りて、前記第1および第2ク ロック領域間のクロック領域インタフェースを、前記第2クロック領域から見て 一定状態に固定することができ、その状態は、適切な試験パターンのロードによ って制限可能であり、前記第1クロック信号によって変化しない。 前記第2クロック領域から第1クロック領域にデータビットを伝送するインタ フェース信号経路に、請求の範囲1に記載するのと同じようにシーム回路を設け ることができる。同様に、他のクロック領域が存在する場合、どの2つのクロッ ク領域間のインタフェース信号経路にもシーム回路を設けることができる。原理 的に、全てのインタフェース信号経路にシーム回路を設けた場合、すべてのクロ ック領域を試験中に予測可能的に制御することができる。前記シーム回路は、前 記クロック領域の逐次的な試験を可能にするだけでなく、並列な試験をも可能に する。 請求の範囲2の手段は、前記第1クロック領域からの出力インタフェース信号 の観測可能性を提供する。前記回路の試験モードにおいて、前記第1状態におい てシーム回路のシーム入力部に加えられるデータビットはそのシーム出力部に現 れ、前記シーム出力部における信号の標本が前記シームフリップフロップに記憶 される。この記憶動作に関して、前記シーム回路は前記第1クロック領域と同じ クロック信号によって駆動されることから、クロックスキューの危険性は最小で ある。したがって、前記インタフェース信号を確実に観測することができる。前 記シームフリップフロップが要素である前記走査回路を使用し、前記シームフリ ップフロップに記憶された標本を読み出す。 請求の範囲3の手段は、前記インタフェース信号経路の試験を明瞭にするとい う利点を有する。インタフェース信号経路を、この信号経路を前記第1シーム回 路によって制御し、前記第2シーム回路によって観測することによって試験する 。請求の範囲3の手段の他の利点は、クロック領域を独立の方法で試験できるこ とである。前記第2クロック領域は、その入力部を別々に制御することができ、 す なわち、特別なパターンを前記シームフリップフロップに前記第1クロック領域 の制御の下でロードする必要はない。 本発明によるシーム回路の第1の形式は、前記フィードバックループの出力部 を前記シームマルチプレクサの出力部によって構成したことをさらに特徴とする 。この特定の形式のシーム回路は、前記インタフェース信号経路において前記マ ルチプレクサの遅延のみから成る遅延を導く。有利に、このシーム回路を、同じ クロック領域から始まる他のインタフェース信号経路と共有するサブ経路に挿入 する。前記第1クロック領域から多数のインタフェース信号が、前記第2クロッ ク領域におけるフリップフロップの入力部に接続された信号出力部を有する中間 領域結合論理素子の集合に供給され、前記インタフェース信号が前記第2クロッ ク領域においてさらに必要とされない場合、前記シーム回路を、前記組み合わせ 論理素子の集合の15の入力部の各々において1つ挿入する代わりに、前記結合 論理素子の集合の出力部において挿入すべきである。このように、前記シーム回 路に必要な面積を最小にする。この形式のシーム回路の他の利点は、個々のクロ ック領域の設計中に特別な用心をする必要がないことと、すべてのクロック領域 を一緒に使用する場合、挿入を簡単に行えることとである。 本発明によるシーム回路の第2の形式は、前記フィードバックループの出力部 を前記シームフリップフロップの出力部によって構成したことを特徴とする。前 記シームフリップフロップを前記関係する列の最初のフリップフロップとして選 択した場合、前記シーム回路はマルチプレクサのみをチップの設計に追加し、こ れによってチップ表面積において小さなオーバヘッドしか生じない。この形式の シーム回路は、そのシーム入力部において受けた信号をそのシーム出力部に前記 関係するクロック信号の制御の下で通過させる。したがって、このシーム回路の 挿入は、このクロック信号の排他的な制御の下で信号を搬送するサブ経路に対し て特に有利である。 本発明の他の実施形態によれば、前記シームマルチプレクサを、前記対応する シームマルチプレクサの第1および第2状態に各々対応する第1および第2値を 有する個々の方向制御信号によって制御し、前記方向制御信号を個々の走査可能 方向制御フリップフロップによって発生する。このように、前記異なったシーム 回路状態間の選択を、前記回路に適切な試験パターンを与えることによって実行 することができる。クロック領域当たり1つの方向制御信号で通常は十分であり 、クロック領域当たり1つのフリップフロップのオーバヘッドのみを必要とする 。 請求の範囲8の手段は、本発明による回路用の試験パターンの計算を、自動試 験パターン発生器(ATPG)によって自動的に行えるという利点を有する。こ のATPGは、前記シーム回路を前記第1状態にする試験パターンを発生して前 記個々のシーム出力部に至るインタフェース信号経路を試験し、前記シーム回路 を前記第2状態にする他の試験パターンを発生して前記インタフェース信号経路 の残りの部分を試験する。 本発明を、添付した図面の参照と共に、例として以下にさらに説明する。 図1は、多数のクロック領域と、これらのクロック領域間での通信を与える種 々の形式のインタフェース信号とを具える集積ディジタル回路の一部を示す。 図2は、第1形式シーム回路を挿入したインタフェース信号経路を示す。 図3は、第2形式シーム回路の第1の実装を具えるインタフェース信号経路を 示す。 図4は、第2形式シーム回路の第2の実装を具えるインタフェース信号経路を 示す。 図5は、本発明の第1実施形態によって、どのように第1および第2形式シー ム回路を図1の回路に含めることができるかを説明する。 図6は、図5において使用する方向制御回路の図を示す。 図7は、本発明の第2実施形態によって、どのように第2形式シーム回路の変 形例を図1の回路に含めることができるかを説明する。 図8は、3つの形式のシーム回路のすべてを図1の回路に組み込むことができ る本発明の第3実施形態を示す。 図9は、本発明による確認回路の好適実施形態を示す。 図1は、その内の3つを示す多数のクロック領域と、これらのクロック領域間 での通信を与える種々の形式のインタフェース信号とを具える集積ディジタル回 路の一部を示す。第1クロック領域102において、フリップフロップの集合が Iに含まれ、結合論理素子の集合がIIに含まれる。ある程度任意の機能がこれら の相互接続によって実現され、また、通常のデータ経路によって示される。第2 および第3クロック領域104および106においても各々同様である。前記ク ロック領域を、クロックスキュー問題を防止するような、例え同じ周波数である かもしれなくても同期しない個々のクロック信号によって制御する。前記第3ク ロック領域の存在は、本発明の原理にとって必須ではないが、説明を容易にする 。さらに、前記クロック領域が、試験可能性のために走査ベースの設計を有する とする。このことに関して、個々のクロック領域におけるフリップフロップは、 試験データ経路を介して個々の走査回路を形成する。しかしながら他のクロック 領域試験方法を使用することもできる。どの方法を使用しても、前記インタフェ ース信号経路の問題を解決しなければならない。 全く同一のクロック領域のフリップフロップを接続する領域内結合論理素子と 、異なったクロック領域におけるフリップフロップを接続する領域間結合論理素 子とを区別する。これらを、各々、前記クロック領域内と、クロック領域間とに 示す。 図1は、3つの可能な形式のインタフェース信号経路を含む。形式Aのインタ フェース信号経路は、第1クロック領域における最初のフリップフロップと、第 2クロック領域における最後のフリップフロップと、領域間結合論理素子を通過 しない前記最初および最後のフリップフロップ間の接続とを具えることを特徴と する。形式Bの3つのインタフェース信号経路を示す。形式Bのインタフェース 信号経路は、第1クロック領域における最初のフリップフロップと、第2クロッ ク領域における最後のフリップフロップと、1つ以上の領域間結合論理素子を通 過し、前記インタフェース信号経路を多数のサブ経路を再分する、これらの2つ のフリップフロップ間の相互接続とを具えることを特徴とする。例えば、図1に 示す形式Bの3つのインタフェース信号経路は、第1クロック領域102におい てこれら自身の別々の最初のフリップフロップを有し、これらの出力部を、前記 領域間結合論理素子におけるNANDゲートによって結合する。前記NANDゲ ートの出力部を、前記3つのインタフェース信号経路間で共有されるサブ経路を 経て、第2クロック領域104における共有受信フリップフロップの入力部に接 続する。形式Cのインタフェース信号経路は、第1クロック領域における最初の フリップフロップと、第2クロック領域における最後のフリップフロップと、前 記第1領域以外の他の領域からの信号を含む他の領域間結合論理素子を具えるこ れらのフリップフロップ間の相互接続とを具える。図1において、1つが第1ク ロック領域102において始まり、他のものが第3クロック領域106において 始まる形式Cのインタフェース信号経路は、前記領域間結合論理素子から、第2 クロック領域104における前記共有される最後のフリップフロップへのサブ経 路を共有する。図1は、前記集積回路が実行状態にあるか、または走査状態にあ るかを、各々の制御可能フリップフロップに前記通常または試験データ経路を選 択する試験信号を与えることによって制御する広域試験制御ブロック108も具 える。 前記先行技術の解決法によれば、前記クロック領域を、逐次的に走査試験する 。まず第1に、走査状態中、試験パターンを前記走査回路にシフトする。クロッ ク領域104を試験するとすると、前記回路が実行状態になった後、クロック領 域104に供給するクロック信号は活性化し、この領域におけるフリップフロッ プは応答パターンを記憶する。しかしながら、同時にクロック領域102に供給 するクロック信号も活性化し、この領域におけるフリップフロップも同様に新た な値を記憶する。このとき、大部分の場合において、前記インタフェース信号経 路によってクロック領域104に搬送される信号も変化する。したがって、前記 先行技術の解決法は、1つのクロック領域を試験する場合、そのクロック領域に 供給するクロック信号のみを活性化させることを規定している。このとき、その 領域に対するすべての入力インタフェース信号は安定する。最終的に、前記応答 パターンを走査状態中にシフトすることができ、同時に、前記最初の試験パター ンを復帰するか、新たな試験パターンをロードすることができる。次にこの手順 を、他のクロック領域および他の試験パターンに対して繰り返す。 この手順による問題は、前記クロック領域を別々に使用不可能にできるように するために、前記クロックラインにおいて追加のハードウェアを必要とすること である。本発明は、シーム回路を各々のインタフェース信号経路に含ませること によってこの問題を解決する。インタフェース信号経路の個々の形式A、Bまた はCに応じて、前記挿入されるシーム回路によってもたらされる合計のオーバヘ ッドを最小にすることができる。 図2は、第1形式シーム回路220を対応するインタフェース信号の観測可能 性および制御可能性を得るために挿入したインタフェース信号経路を示す。第1 クロック領域の一部である最初のフリップフロップ202と、第2クロック領域 の一部である最後のフリップフロップ204と、フリップフロップ202および 204間のインタフェース信号経路に挿入した第1形式シーム回路220とを示 す。形式BおよびCの経路において定義によって存在するような領域間結合論理 素子は、ここでは省略した。結果として必要な手段(例えば、マルチプレクサ) を明白に図示していなくても、この図に示すすべてのフリップフロップは走査可 能であり、すなわち、走査回路において一部を占めることは暗黙のうちに理解さ れる。シーム回路220は、方向制御信号212によって制御されるシームマル チプレクサ206と、前記第1クロック領域のクロック信号214の制御の下に あるシームフリップフロップ208と、シーム入力部230と、シーム出力部2 40とを具える。シーム回路220は、シームマルチプレクサ206の第1およ び第2状態に各々対応する第1および第2状態を有する。前記第1状態において 、シームマルチプレクサ206は、最初のフリップフロップ202からシーム入 力部230において受けた信号をシーム出力部240に通過させる。さらに、こ の信号の標本をシームフリップフロップ208に記憶する。 前記集積回路の通常モード中、シーム回路220は前記第1状態において動作 する。このとき、シームフリップフロップ208において記憶された前記インタ フェース信号の複製は使用されない。前記第2状態において、シームマルチプレ クサ206は、シームフリップフロップ208に後者の出力信号を供給し、すな わち、シーム出力部240は、シームフリップフロップ208に予めロードされ た値において保持される。 シーム回路220が本発明の目的をどのように達成するかを以下に説明する。 前記集積回路が試験モードにある場合、シーム回路220の双方の状態を使用す ることができ、使用するべきである。前記第1状態において、シーム回路220 は、シーム出力部240における信号をシームフリップフロップ208に記憶す る。前記集積回路の走査状態において、この値を、前記走査回路の他のフリップ フロップに記憶された値と共にシフトすることができる。このように、前記出力 インタフェース信号の観測可能性が得られる。前記第2状態において、シーム回 路220は、シーム出力部240を、前記回路の前の走査状態においてシームフ リップフロップ208にシフトされた信号値で駆動し、同時に、シーム回路22 0は、前記第1領域のクロック信号によって生じたシーム入力部230における 変化について知らない。このように、前記第2クロック領域によって受けられた 入力信号を制御する。 図3は、シームマルチプレクサ216と、シームフリップフロップ218と、 シーム入力部232と、シーム出力部242とを具える第2形式シーム回路の第 1の実装222を具えるインタフェース信号経路を示す。第2形式シーム回路2 22は、第1形式シーム回路220とは、シーム出力部242をシームマルチプ レクサ216の出力部の代わりにシームフリップフロップ218の出力部に接続 した点が異なる。第2形式シーム回路222の動作は、第1形式シーム回路22 0の動作と類似する。第2形式シーム回路222のこの実装において、前記イン タフェース信号が他のフリップフロップを通過することは、いくつかの場合にお いて有利になる。 図4は、シームマルチプレクサ226と、シームフリップフロップ228と、 シーム入力部234と、シーム出力部244とを具え、第2形式シーム回路の第 1の実装222とは、シームフリップフロップ228がシーム回路224に組み 込まれた最初のフリップフロップの機能も行う点が異なる第2形式シーム回路の 第2の実装224を具えるインタフェース信号経路を示す。前記インタフェース 信号経路は、接続部250を経てシーム回路224に入る。第2形式シーム回路 の第1の実装222および第1形式シーム回路220と比較した第2形式シーム 回路の第2の実装224の利点は、必要なチップ面積オーバヘッドが少ないこと である。これは、形式Aのインタフェース信号経路に必要なシーム回路を他のイ ンタフェース信号経路と共有できないことから、形式Aのインタフェース信号経 路の場合に特に有利である。このシーム回路を使用する場合、最初のフリップフ ロップ228を位置決めしなければならず、マルチプレクサ226をその前に挿 入しなければならず、図4による接続を行わなければならない。 図5は、本発明の第1実施形態により、前記シーム回路をどのように図1の回 路に含ませることができるかを説明する。図1の第1クロック領域に属する要素 のみを図示した。斜線を付けた正方形504、506、508の各々を、第1形 式シーム回路か、第2形式シーム回路の第1の実装とする。有利に、これらの2 つの形式のシーム回路を、図5に示すように、すなわち、領域内結合論理素子の できるだけ後に挿入する。このとき必要なシーム回路の数を、いくつかのインタ フェース信号経路が1つの同じシーム回路を使用できることから、制限すること ができる。これは、形式Bのインタフェース信号経路に関して特に真であり、形 式Aのインタフェース信号経路に関してこれらのシーム回路による資源の共有は できない。 この状況は、形式Cのインタフェース信号経路に関してより複雑である。形式 Cの2つのインタフェース信号経路が図5において含まれ、第1のものは前記第 1クロック領域において始まり、サブ経路522を具え、第2のものは他のクロ ック領域、すなわち第2クロック領域において始まり、サブ経路520を具える 。これらのインタフェース信号経路は、サブ経路524およびシーム回路508 を共有する。これらのインタフェース信号経路が異なるクロック領域において始 まることにより、非共有サブ経路520が前記第2クロック領域の制御の下にあ る第2シーム回路を具えなければ、シーム回路508は、そのシーム入力部にお いて受けた信号を確実に記憶することができない。このように、前記第2シーム 回路が前記第2状態にある場合、シーム回路508が受けた信号をシーム回路5 08それ自身と同じクロック信号によって制御し、したがって確実に記憶できる 。一般的な規則は、各々のインタフェース信号経路は、その形式に係わらず、1 つ以上の連続的なシーム回路を通過すべきであり、その第1のものを、前記イン タフェース信号経路が始まるクロック領域を制御するクロック信号の制御の下に おくことである。 方向制御回路530は、前記シームマルチプレクサの状態を選択する方向制御 信号を前記シーム回路に供給する。クロック領域当たり、このクロック領域のシ ーム回路に並列に供給される1つの方向制御信号を発生するこのような制御回路 が1つで、一般的には十分である。前記方向制御回路の動作を、広域試験制御ブ ロック532によって制御する。 図6は、このような方向制御回路の好適な実装を説明する。接続部614を経 て対応するシーム回路に供給される方向制御信号DIRを発生する。ANDゲー ト604は、試験信号を接続部612を経て広域試験制御ブロック532から受 ける。前記試験信号が値0を有する場合、前記方向制御信号は値0を有し、した がって、この方向制御信号によって制御されるすべてのシームマルチプレクサは 、前記第1状態において動作する。前記試験信号が値1を有する場合、フィード バックループ610に記憶された値は、前記方向制御信号の値を決定する。走査 可能フリップフロップ602は、フィードバックループ610への値のロードを 可能にする。このことに関して、前記集積回路の走査状態中、値は走査回路を経 て走査可能フリップフロップ602にシフトされる。このように、前記集積回路 のシーム回路の状態を、適切な試験パターンを通じて制御することができる。フ ィードバックループ610は、その後の実行状態中、フリップフロップ602の 安定した出力を保証する。したがって前記集積回路のすべての方向制御信号が発 生された場合、1つの試験信号が前記集積回路を通常モードの動作にすることが できる。 図7は、本発明の第2の実施形態によって、前記第2形式シーム回路の第2の 実装をどのように図1の回路に含めることができるかを説明する。前記第1クロ ック領域のすべての最初のフリップフロップを、前記第2形式シーム回路の第2 の実装に従って、前記斜線を付けた正方形によって示されるシーム回路に置き換 える。前記第2形式シーム回路の第2の実装において、前記シームフリップフロ ップおよび最初のフリップフロップを1つの同じものにすることを強調するため に、前記シーム回路をIの内側に図示する。これらのシーム回路がインタフェー ス信号経路間で決して共有されないことは明らかである。さらに、図5の実施形 態と比較して、2つの追加のシーム回路が必要であるが、前記第2形式シーム回 路の第2の実装それ自身は1つのマルチプレクサを設計に加えるだけであり、合 計のチップ面積をより小さくできることが分かる。 図8は、本発明の第3実施形態を示し、斜線を付けた正方形によって示すシー ム回路のすべての変形例をどのように図1の回路に組み込むことができるかを説 明する。上述したように、チップ面積のオーバヘッドの最小化をかんがみて、1 つの同じ領域において始まる多数のインタフェース信号経路間で共有されるノー ドを識別することが有利である。このようなノードにおいて、第1形式シーム回 路または第2形式シーム回路の第2の実装が適切である。このようなノードが特 定のインタフェース信号経路に対して見つからない場合、前記第2形式シーム回 路の第2の実装が最適である。また、1つのノードが少数のインタフェース信号 経路のみの間で共有される場合、このシーム回路は、一般的に、他の変形例の内 の1つのシーム回路を1つ挿入する場合よりもチップ面積オーバヘッドが小さく なる。図8において、この「区切り点」を3つとした。 図9は、確認回路の好適実施形態を示す。前記シーム出力部における信号が試 験の視点から読み取り可能であるか否かをATPGに対して明白にするために、 このような回路を、前記回路のネットワーク図において各々のシーム出力部にお いて挿入すべきである。この回路は、ORゲート92およびNXORゲート94 を具える。対応するシーム回路に供給され、その状態を選択する方向制御信号D IRは、対応するシーム回路が前記第1状態にある場合、前記確認回路の出力部 98を未知(X)状態にし、前記シーム回路が第2状態にある場合、前記確認回 路の入力部96において受けた信号を前記シーム出力部に通過させる。このダミ ー回路は、前記試験パターンを計算する回路のネットワーク図にのみ挿入され、 実際の回路それ自身には見られない。 図5、7および8において、シーム回路を送信クロック領域において挿入した 。これは、これらがこの領域のクロック信号によって制御されることを意味する 。類似するように、前記シーム回路を受信クロック領域において挿入することが できる。このことに関して、図2−4のシームフリップフロップは、前記受信ク ロック領域のクロック信号によって制御される。代わりに、シーム回路を、イン タフェース信号経路の両側において挿入することができ、第1のものは前記送信 クロック領域の制御の下にあり、第2のものは前記受信クロック領域の制御の下 にある。 図10は、2つのシーム回路1010、1020を具える、第1クロック領域 1002および第2クロック領域1004間の信号経路1000の一例を示す。 異なったモードを、以下の表(.は考慮しないことを意味する)に従って信号S B1およびSB2によって制御する。 表1 図10に関係するモード 試験モードIにおいて、前記クロック領域を互いに分離し、別々に試験するこ とができる。1つのシーム回路の状況と比べた利点は、前記第2クロック領域が その入力部を別々に制御できることであり、すなわち、前記第1クロック領域の 制御の下で前記シームフリップフロップに特別なパターンをロードする必要がな いことである。試験モードIIにおいて、前記インタフェース信号経路を明白に試 験することができる。このことに関して、シーム回路1010において、試験デ ータビットを挿入し、インタフェース信号経路1000において利用可能にする 。その後、シーム回路1020は、インタフェース信号経路1000を観測し、 前記適切な走査回路を経て検査できる応答データビットを記憶する。有利に、信 号SB1およびSB2を、フリップフロップと、試験モードにおいて明確な結合 論理とを具える制御回路によって発生する。 図11は、フリップフロップ1102および1104と、結合論理1106と を具えるこのような制御回路の一例を示す。この制御回路は、試験モードにおい て(TSTがハイの場合)、フリップフロップ1102および1104に記憶さ れた値に係わらず、前記インタフェース信号経路が信頼できない信号を搬送する のを防止する。したがって、図10におけるような2つのシーム回路をこの制御 回路と組み合わせて使用した場合、試験モードにおいて前記シーム回路が常にク ロックスキュー問題を防止するため、前記試験パターンの計算に図9の確認回路 は必要なくなる。 図12は、本発明をどのようにI/Oインタフェース信号経路に適用できるか を示す。相互接続部を共有する。図12の回路は、I/Oインタフェース信号経 路の一方の端を示し、ここにおいて2つのシーム回路1210および1220を 挿入した。シーム回路1210によってI/Oラインにおける出力信号を制御す ることができ、シーム回路1220によって入力信号を観測することができる。 バッファ1230は、双方向において信号増幅を与える。 図13は、図12の回路の代案を示す。この回路は、I/Oインタフェース信 号経路に関し、シーム回路1310を、出力信号の制御と、入力信号の観測との 双方に使用するため、図12の回路よりも簡潔な解決法を与える。バッファ13 20を、シーム回路1310のフィードバックループに挿入する。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヤコブス ヤコバス アドリアヌス マリ ア オランダ国 5656 アーアー アインドー フェン プロフ ホルストラーン 6 (72)発明者 ラウスベルク ギローム エリザベス ア ンドレアス オランダ国 5656 アーアー アインドー フェン プロフ ホルストラーン 6

Claims (1)

  1. 【特許請求の範囲】 1.第1および第2クロック信号によって各々制御される第1および第2クロッ ク領域を具え、前記第1および第2クロック領域をインタフェース信号経路の組 を経て相互接続し、これらの領域の各々がフリップフロップの各々の列を具え、 前記列の最初のフリップフロップが前記第1クロック領域において位置し、前記 列の最後のフリップフロップが前記第2クロック領域において位置し、前記列を 、データビットをそのフリップフロップに沿って前記第1クロック領域から前記 第2クロック領域に前記第1および第2クロック信号の制御の下で連続的に移動 するように配置した集積回路において、前記インタフェース信号経路の各々が、 シーム入力部と、シーム出力部と、シームマルチプレクサおよび、関連する列の 一部であると共に走査回路の一部であるシームフリップフロップを有するフィー ドバックループとを具える第1シーム回路を含み、前記シームフリップフロップ が前記マルチプレクサの第1入力部に供給し、前記マルチプレクサの第2入力部 が前記シーム入力部を表し、前記フィードバックループの出力部が前記シーム出 力部を表し、前記マルチプレクサの第1状態が、データビットの前記フィードバ ックループへの前記シーム入力部を経てのロードを可能にし、前記マルチプレク サの第2状態が、前記データビットを前記フィードバックループにおいて固定す るようにしたことを特徴とする集積回路。 2.請求の範囲1に記載の集積回路において、前記第1シームフリップフロップ を前記第1クロック信号によって制御するようにしたことを特徴とする集積回路 。 3.請求の範囲2に記載の集積回路において、前記インタフェース信号経路が、 前記第2クロック信号によって制御されると共に前記第2クロック領域において 位置する第2シーム回路をさらに具えることを特徴とする集積回路。 4.請求の範囲1に記載の集積回路において、前記フィードバックループの出力 部を前記シームマルチプレクサの出力部によって構成した少なくとも1つの第1 形式シーム回路を具えることを特徴とする集積回路。 5.請求の範囲1に記載の集積回路において、前記フィードバックループの出力 部を前記シームフリップフロップの出力部によって構成した少なくとも1つの第 2形式シーム回路を具えることを特徴とする集積回路。 6.請求の範囲1に記載の集積回路において、少なくとも1つの第2形式シーム 回路が前記第1クロック領域に位置し、このシーム回路のシームフリップフロッ プを前記関連する列の最初のフリップフロップによって形成したことを特徴とす る集積回路。 7.請求の範囲1に記載の集積回路において、前記シームマルチプレクサを、前 記対応するシームマルチプレクサの第1および第2状態に各々対応する第1およ び第2値を有する個々の方向制御信号によって制御し、前記方向制御信号を個々 の走査可能方向制御フリップフロップによって発生するようにしたことを特徴と する集積回路。 8.請求の範囲1ないし7のいずれか1つに記載の集積回路を試験する方法であ って、前記第1および第2クロック領域がクロック領域試験用の他の走査回路を 具え、該方法が複数の試験周期を使用し、各々の試験周期が、(1)予め決めら れた試験パターンを前記個々の走査回路にシフトし、前記回路のフリップフロッ プに予め決められた値を予めロードするステップと、(2)前記クロック信号を 1周期中使用可能にし、それによって、応答パターンを前記個々の走査回路に前 記クロック信号の制御と前記フリップフロップの予め決められた値の作用とによ って記憶させるステップと、(3)前記応答パターンを前記個々の走査回路から シフトさせるステップとを具える方法において、前記ステップ(1)の前に、( a)試験すべき前記回路のネットワーク図において前記各々のシーム回路のシー ム出力部において個々の確認回路を挿入し、各々の確認回路が、前のシーム回路 に供給される前記方向制御信号の制御を受けると共に確認入力部および確認出力 部を具え、前記方向制御信号の第1値が前記確認出力部において未定義状態を生 じさせ、前記方向制御信号の第2値が前記確認出力部を前記確認入力部に従わせ 、(b)試験パターンを、このようにして得たネットワーク図と、1つ以上の故 障モデルとを使用して計算することを特徴とする方法。
JP52640598A 1996-12-13 1997-10-27 第1および第2クロック領域を具える集積回路と、このような回路を試験する方法 Expired - Fee Related JP3848686B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP96203534.1 1996-12-13
EP96203534 1996-12-13
PCT/IB1997/001346 WO1998026301A1 (en) 1996-12-13 1997-10-27 Integrated circuit comprising a first and a second clock domain and a method for testing such a circuit

Publications (2)

Publication Number Publication Date
JP2000506985A true JP2000506985A (ja) 2000-06-06
JP3848686B2 JP3848686B2 (ja) 2006-11-22

Family

ID=8224696

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52640598A Expired - Fee Related JP3848686B2 (ja) 1996-12-13 1997-10-27 第1および第2クロック領域を具える集積回路と、このような回路を試験する方法

Country Status (6)

Country Link
US (1) US6131173A (ja)
EP (1) EP0886784B1 (ja)
JP (1) JP3848686B2 (ja)
KR (1) KR100499740B1 (ja)
DE (1) DE69732960T2 (ja)
WO (1) WO1998026301A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006236060A (ja) * 2005-02-25 2006-09-07 Nec Micro Systems Ltd バスインターフェイス回路
US7251761B2 (en) 2003-02-13 2007-07-31 Matsushita Electric Industrial Co., Ltd. Assembly for LSI test and method for the test
JP2008096422A (ja) * 2006-10-05 2008-04-24 Princeton Technology Corp チップテスト装置とシステム
US7752586B2 (en) 2007-11-20 2010-07-06 International Business Machines Corporation Design structure of an integration circuit and test method of the integrated circuit

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100582807B1 (ko) * 1998-04-23 2006-05-24 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 아날로그 회로 및 디지털 회로를 구비하는 검사 가능한 집적 회로
GB9907254D0 (en) 1999-03-29 1999-05-26 Sgs Thomson Microelectronics Synchronous data adaptor
US6327684B1 (en) * 1999-05-11 2001-12-04 Logicvision, Inc. Method of testing at-speed circuits having asynchronous clocks and controller for use therewith
US7007187B1 (en) * 2000-06-30 2006-02-28 Intel Corporation Method and apparatus for an integrated circuit having flexible-ratio frequency domain cross-overs
DE10039001A1 (de) * 2000-08-10 2002-02-21 Philips Corp Intellectual Pty Anordnung zum Testen eines integrierten Schaltkreises
US6904553B1 (en) * 2000-09-26 2005-06-07 Hewlett-Packard Development Company, L.P. Deterministic testing of edge-triggered logic
EP1205759A1 (en) * 2000-11-13 2002-05-15 Lucent Technologies Inc. Method for achieving scan testability of an integrated circuit including multiple clock domain
US7007213B2 (en) * 2001-02-15 2006-02-28 Syntest Technologies, Inc. Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test
EP1296153A1 (de) * 2001-09-21 2003-03-26 Siemens Aktiengesellschaft Elektronischer Baustein
US6931561B2 (en) * 2001-10-16 2005-08-16 International Business Machines Corporation Apparatus and method for asynchronously interfacing high-speed clock domain and low-speed clock domain using a plurality of storage and multiplexer components
ATE309549T1 (de) * 2002-01-28 2005-11-15 Koninkl Philips Electronics Nv Testen von schaltungen mit mehreren taktsignal- domänen
DE10331830B4 (de) * 2003-07-14 2010-06-17 Infineon Technologies Ag Verfahren zum Testen der ordnungsgemäßen Funktionsweise einer Halbleiterschaltung sowie dafür geeignete Halbleiterschaltung
US7487399B2 (en) 2003-11-07 2009-02-03 Hewlett-Packard Development Company, L.P. System and method for testing a component in a computer system using frequency margining
GB0424766D0 (en) * 2004-11-10 2004-12-08 Koninkl Philips Electronics Nv Testable integrated circuit
US7502978B2 (en) * 2004-12-21 2009-03-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Systems and methods for reconfiguring scan chains
ATE436028T1 (de) * 2005-02-01 2009-07-15 Nxp Bv Prüfbare elektronische schaltung
DE602006013600D1 (de) * 2005-02-11 2010-05-27 Nxp Bv Testen einer integrierten schaltung mit mehreren taktdomänen
US7555687B2 (en) * 2005-07-20 2009-06-30 Texas Instruments Incorporated Sequential scan technique for testing integrated circuits with reduced power, time and/or cost
JP4091957B2 (ja) * 2006-02-17 2008-05-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 複数のクロック発生回路を含むテスト可能な集積回路
US7478300B2 (en) * 2006-04-28 2009-01-13 International Business Machines Corporation Method for testing functional boundary logic at asynchronous clock boundaries of an integrated circuit device
US7500164B2 (en) * 2006-06-01 2009-03-03 International Business Machines Corporation Method for testing an integrated circuit device having elements with asynchronous clocks or dissimilar design methodologies
CN101512363B (zh) * 2006-08-31 2012-07-25 Nxp股份有限公司 多时钟片基系统和电子设备
US7584443B1 (en) * 2007-03-07 2009-09-01 Altera Corporation Clock domain conflict analysis for timing graphs
WO2010011208A1 (en) * 2008-07-25 2010-01-28 Thomson Licensing Method and apparatus for a reconfigurable at-speed test clock generator
US8205110B2 (en) * 2008-11-03 2012-06-19 Oracle America, Inc. Synchronous operation of a system with asynchronous clock domains
US7996739B2 (en) * 2009-09-11 2011-08-09 International Business Machines Corporation Avoiding race conditions at clock domain crossings in an edge based scan design
JP2011102764A (ja) * 2009-11-11 2011-05-26 Renesas Electronics Corp 半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計プログラム
US8627160B2 (en) * 2010-04-21 2014-01-07 Lsi Corporation System and device for reducing instantaneous voltage droop during a scan shift operation
US10460822B2 (en) * 2017-08-23 2019-10-29 Arm Limited Memory with a controllable I/O functional unit
US10520547B2 (en) * 2017-09-29 2019-12-31 Silicon Laboratories Inc. Transition scan coverage for cross clock domain logic

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5535331A (en) * 1987-09-04 1996-07-09 Texas Instruments Incorporated Processor condition sensing circuits, systems and methods
NL8900151A (nl) * 1989-01-23 1990-08-16 Philips Nv Werkwijze voor het testen van een schakeling, alsmede schakeling geschikt voor een dergelijke werkwijze.
JP3563750B2 (ja) * 1992-10-16 2004-09-08 テキサス インスツルメンツ インコーポレイテツド アナログ回路のための走査に基づく試験
US5477545A (en) * 1993-02-09 1995-12-19 Lsi Logic Corporation Method and apparatus for testing of core-cell based integrated circuits
US5504756A (en) * 1993-09-30 1996-04-02 Intel Corporation Method and apparatus for multi-frequency, multi-phase scan chain

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7251761B2 (en) 2003-02-13 2007-07-31 Matsushita Electric Industrial Co., Ltd. Assembly for LSI test and method for the test
JP2006236060A (ja) * 2005-02-25 2006-09-07 Nec Micro Systems Ltd バスインターフェイス回路
JP4620492B2 (ja) * 2005-02-25 2011-01-26 ルネサスエレクトロニクス株式会社 バスインターフェイス回路
JP2008096422A (ja) * 2006-10-05 2008-04-24 Princeton Technology Corp チップテスト装置とシステム
US7752586B2 (en) 2007-11-20 2010-07-06 International Business Machines Corporation Design structure of an integration circuit and test method of the integrated circuit

Also Published As

Publication number Publication date
EP0886784B1 (en) 2005-04-06
EP0886784A1 (en) 1998-12-30
DE69732960T2 (de) 2006-03-23
US6131173A (en) 2000-10-10
KR100499740B1 (ko) 2005-09-30
WO1998026301A1 (en) 1998-06-18
DE69732960D1 (de) 2005-05-12
JP3848686B2 (ja) 2006-11-22
KR19990082515A (ko) 1999-11-25

Similar Documents

Publication Publication Date Title
JP2000506985A (ja) 第1および第2クロック領域を具える集積回路と、このような回路を試験する方法
US9494640B2 (en) Parallel scan distributors and collectors and process of testing integrated circuits
US5450415A (en) Boundary scan cell circuit and boundary scan test circuit
US5774475A (en) Testing scheme that re-uses original stimulus for testing circuitry embedded within a larger circuit
US8464110B2 (en) State machine transitioning states from sequence 3 to idle 2
US7430698B2 (en) Method and system for an on-chip AC self-test controller
EP0358376A2 (en) Integrated test circuit
WO1985001825A1 (en) A scannable asynchronous/synchronous cmos latch
US6145105A (en) Method and apparatus for scan testing digital circuits
US6536008B1 (en) Fault insertion method, boundary scan cells, and integrated circuit for use therewith
EP0289158B1 (en) Diagnostic apparatus for a data processing system
US5621740A (en) Output pad circuit for detecting short faults in integrated circuits
US4912395A (en) Testable LSI device incorporating latch/shift registers and method of testing the same
US6079039A (en) Test circuit and test method for testing semiconductor chip
US6614290B2 (en) Integrated circuit
JP3328160B2 (ja) 論理集積回路のテスト装置
JP2785506B2 (ja) スキャン用回路
JPH0627779B2 (ja) 半導体集積回路装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060725

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060828

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100901

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110901

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120901

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130901

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees