EP1434897A1 - Procede et dispositif pour deposer une pluralite de couches sur un substrat - Google Patents

Procede et dispositif pour deposer une pluralite de couches sur un substrat

Info

Publication number
EP1434897A1
EP1434897A1 EP02779322A EP02779322A EP1434897A1 EP 1434897 A1 EP1434897 A1 EP 1434897A1 EP 02779322 A EP02779322 A EP 02779322A EP 02779322 A EP02779322 A EP 02779322A EP 1434897 A1 EP1434897 A1 EP 1434897A1
Authority
EP
European Patent Office
Prior art keywords
process chamber
gas
solvent
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP02779322A
Other languages
German (de)
English (en)
Inventor
Markus Schumacher
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10206984A external-priority patent/DE10206984A1/de
Application filed by Aixtron SE filed Critical Aixtron SE
Publication of EP1434897A1 publication Critical patent/EP1434897A1/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • the invention relates to a method and a device for depositing a number of layers on a substrate by means of gaseous starting materials.
  • WO 01/14619 A1 describes a device for the deposition of, in particular, silicon carbide or silicon germanium carbite.
  • WO 01/61071 describes a device by means of which OLED "S or similar layer structures can be applied to a substrate.
  • WO 01/57289 A1 relates to a device for depositing one or more layers onto a substrate, liquid or solid substances being used as the starting material, which are introduced into the process chamber in gaseous form.
  • deposition processes are used for the layer deposition of electrodes and dielectrics (here especially oxidic dielectrics), which usually run sequentially in different deposition systems (chambers) and usually also on different deposition methods (physical, such as PVD or chemical processes (MOD); here specifically chemical vapor deposition, CND for short, or MOCVO (metal-organic chemical vapor deposition)).
  • the invention has for its object to develop an apparatus and a ner driving that reduces, bypasses and eliminates the disadvantages mentioned above.
  • the task is solved by depositing the layer structure without changing into other deposition systems or chambers.
  • the layers are deposited in a single process chamber in successive process steps by merely changing the gas phase composition and / or the substrate temperature.
  • the layer sequence comprises in particular at least one oxide layer, on which a metal layer or a metal layer, on which an insulating layer and a metal layer is applied.
  • the ner driving is also characterized in that the process chamber is pumped out between the individual process steps and / or is flushed with inert gas. This brings the pressure inside the process chamber to a value that is considerably lower than the process pressure.
  • the walls of the process chamber can be tempered in different ways. When changing the gas phase, the process chamber can be flushed with noble gases, Ar, He, H 2 or ⁇ 2 .
  • the substrate holder on which the substrate is located and a gas inlet element arranged above the substrate holder can be brought to an optimal process temperature.
  • the process chamber walls through which the gas can escape can also be brought to the required temperature in this way. This avoids condensation of the starting materials introduced in gaseous form into the gas phase, which can also disintegrate there.
  • the gas phase is individually tempered by the actively heated wall of the process chamber or by the wall of the process chamber heated by means of heat conduction, so that the required gas phase reactions between the starting materials or the decomposition of the starting materials is optimized.
  • the gas inlet system can also be cooled in some areas so that pre-disassembly or pre-reactions are prevented.
  • the deposited layers have different tasks.
  • the metallic layer serves as an electrode.
  • the metal layers are contacted in a known manner in the process steps following the coating step, in which, among other things, the substrate is divided.
  • substrates are alternately coated with conductive and insulating layers in a multi-process chamber in a sequential, non-reactive CVD coating.
  • the process chamber consists of a vacuum-tight chamber, a temperature-controlled substrate holder, a gas inlet element and a gas outlet element to which a pump is connected.
  • the substrate holder like the ceiling of the process chamber opposite the substrate holder, can be tempered. This can be done using an electrical resistor, infrared radiation or electromagnetic high frequency. A necessary cooling can be done with a liquid medium (H2O or similar).
  • the process chamber walls can also be heated in this way.
  • the substrate holder can also be driven in rotation via a rotary drive.
  • the substrate holder itself can again have rotationally driven substrate carrier plates which circle like a planet around the center of the substrate holder.
  • the temperature measurement in the substrate holder can be carried out by means of a thermocouple, the supply of which is carried out through the rotating union.
  • the process chamber preferably has intermediate volumes in the region of the surfaces which define and delimit the geometry of the reaction chamber. There is a gas in each of these intermediate volumes. It can also be the process gas.
  • the pressure in the intermediate volumes as well as the gas composition in the intermediate volumes can be adjusted. As a result, the thermal conductivity of the intermediate volumes can be set. This allows the temperature of the process chamber walls to be checked.
  • the walls of the two volume can be very thin, inert quartz glass plates. It is also provided that such plates are thermally coupled to the walls.
  • the gases in the intermediate volumes can have different gas compositions, for example hydrogen and nitrogen. Water cooling is also provided.
  • the process gases are introduced into the process chamber in a pulsed manner.
  • the process gases can be generated from liquid or solid starting substances.
  • they can come from evaporators.
  • They are brought into a gaseous state by tempering.
  • the transition from liquid starting materials into the gas phase is preferably carried out by non-contact evaporation.
  • a gas stream can also flow through the liquid containers in which the liquid starting materials are located.
  • the carrier gas stream then saturates with the gas of the starting material.
  • a thermal coupling of the process chamber ceiling or process chamber walls can be provided via a gas gap to a heat sink or to a heat source.
  • Parasitic memory effects between different deposition sequences are avoided by pumping down the process chamber to a pressure below the process pressure. However, the pressure should not fall below the base pressure of the system. Residual contamination by chemical substances from previous process sequences is preferably monitored by measurement using a residual gas analyzer.
  • the layer thickness can be monitored in situ during the process using an optical method.
  • it is provided to track the layer thickness growth using in situ ellipsometry.
  • the invention proposes a device and a method in which a complete MIM structure or at least parts of this structure can be produced in a single apparatus and in a single process step.
  • the conductive (electrodes) and insulating layers (dielectrics, oxidic dielectrics) are produced here in particular according to the so-called sequential hetero wafer MOCVD process.
  • the electrode / insulator layer system is applied in only one process chamber, in which the substrate to be coated remains in this one process chamber during the entire process flow without changing to other process chambers.
  • the process chamber is designed for minimal dead volumes.
  • the contamination between the process sequences can be reduced to a minimum by pumping down the process chamber to a pressure lower than the process pressure (but minimally the base pressure of the process chamber). Residual impurities are monitored using a so-called RGA or residual gas analyzer. A continuous gas flow in a preferred direction is advantageous.
  • organometallic starting substances In the deposition of (oxidic) dielectrics and electrodes, organometallic starting substances (precursors) are usually used, which in the most general case are in the vapor pressure and also in the decomposition differentiate behavior. These precusors are either evaporated directly via so-called “bubblers” and introduced into the process chamber or introduced into one or more heated volumes (ina) (evaporator) via the solution of the precusors in a suitable solvent as a liquid / solid solution and introduced into the process chamber for reaction on the substrate to be coated. These precusors often have a very narrow process window between condensation and decay, which requires an exact temperature control of all bounding walls.
  • intermediate volumes are introduced, which are adjustable in pressure and heat conduction, and are coupled to very thin, inert (eg quartz glass) walls facing the interior of the process chamber.
  • the inner walls are thus tempered by heat transfer from the temperature-controlled process chamber outer wall via an intermediate thin volume which is adjustable in the heat conduction to the inner, thin inner wall facing the process chamber.
  • the thermal conductivity properties of the intermediate volumes are set via a gas mixture of at least two gases with different thermal conductivity coefficients, which can also be freely adjusted in pressure. Different areas of the walls and the gas inlet can be tempered differently.
  • FIG. 1 A first embodiment of a CND system for performing the method.
  • Fig. 2 shows a second embodiment of a CND system for performing the method.
  • the device shown in the drawings has a process chamber 6 which is enclosed on all sides.
  • the process chamber 6 has a feed line which leads to a gas inlet element 7.
  • This feed line can either be used to feed a process gas or a process gas mixed with a carrier gas into the process chamber 6 or a purge gas through the line 5.
  • the gas inlet member 7 consists of a flat cylindrical hollow body, the bottom of which has a plurality of openings arranged in a sieve shape, from which the gas can flow into the process chamber 6.
  • a substrate holder 8 In a spaced position parallel to the gas inlet element 7, there is a substrate holder 8 for receiving a substrate.
  • a heater 12 This heater can be an HF heater or an IR heater.
  • the substrate holder 8 can namely be heated up to a temperature at which the process gases decompose.
  • the walls of the process chamber housing 11 can also have heaters 13 in order to heat the walls.
  • the wall temperature is lower than the temperature of the substrate holder 8.
  • a gas discharge line is located below the substrate holder 8. By means of this discharge, the unused gas is pumped out of the process chamber 6 by a pump 10. There is a residual gas analyzer 9 in the gas discharge line, which analyzes the composition of the gas pumped out of the process chamber 6 by mass spectrometry.
  • a first source for providing an organometallic compound for example an organometallic platinum compound for depositing the first metallization layer
  • a second source which contains a barium strontium titanium oxide, a so-called perovskite, for depositing a dielectric
  • a third source for example a contains organometallic ruthenium compound for the deposition of the second metallization layer.
  • the organometallic compounds can be dissolved in a solvent.
  • a tank into which carrier gas is introduced through a feed line 4.
  • the tank acts as a wash bottle.
  • the liquid in the tank is pressed through an ascending pipe into an evaporator 2.
  • the organometallic connection converted into a gas or the BST connection is fed to the gas inlet element 7 via a pipeline which can be closed by means of a valve 3.
  • a metal layer is deposited on the substrate lying on the substrate holder 8 by a Solvent dissolved organometallic material containing platinum is brought into a gas form.
  • the substrate is preferably made of silicon.
  • the starting material is fed together with the solvent to the shower head-like gas inlet member 7 and brought into the process chamber 6 through the openings of the gas inlet member 7.
  • a suitable gas phase transport brings the gas to the hot substrate holder 8, where a decomposition reaction takes place on the surface.
  • a platinum layer is formed on the substrate as a reaction product.
  • the valve 3 is closed and an inert gas is introduced into the process chamber through the line 5.
  • the pump 10 can lower the internal pressure in the process chamber 6 several times, so that an increased gas exchange takes place.
  • the residual gas fraction is measured spectrometrically by means of the residual gas analyzer 9.
  • the concentration of the solvent and the concentration of the organometallic compound are measured. If the concentration of the measured residual gas falls below a limit, the purging process is completed.
  • the rinsing process is followed by a second process step in which, instead of the organometallic platinum compound, a barium-strontium-titanium-oxygen compound, a so-called perovskite, is introduced into the process chamber 6 through the gas inlet element 7. It is important that the process chamber 6 is essentially solvent-free before the introduction of this gas, which deposits a dielectric, since the BST compound reacts very sensitively to solvents in the gas phase.
  • a barium-strontium-titanium-oxygen compound a so-called perovskite
  • the stoichiometry of the barium strontium titanium oxide can be set by means of a suitable temperature or by means of suitable other process parameters.
  • the dielectric of the dielectric layer can thus be influenced.
  • a ferroelectric material can also be deposited.
  • the gas flow is closed by closing a valve. Thereafter, as described above, the process chamber 6 is flushed, the output of the pump also being able to be briefly increased in order to lower the total pressure within the process chamber 6.
  • the residual gas fraction is also examined here spectrometrically by means of the residual gas analyzer 9.
  • the oxygen concentration in the gas phase is monitored. If this falls below a limit, the rinsing process is ended.
  • the second process step is followed by a third process step, which is essentially carried out in the same way as the first process step, except that an organometallic compound containing ruthenium is chosen instead of an organometallic compound containing platinum.
  • the process chamber 6 can also be rinsed.

Abstract

L'invention concerne un procédé pour déposer une pluralité de couches sur un substrat au moyen de matériaux de base gazeux, ces couches étant déposées lors d'opérations successives se déroulant dans une seule chambre de traitement. La composition en phase gazeuse et/ou la température du substrat est modulée sans ouverture intermédiaire de la chambre de traitement, si bien que des couches de différentes qualités sont déposées successivement dans une chambre de dépôt.
EP02779322A 2001-10-08 2002-09-07 Procede et dispositif pour deposer une pluralite de couches sur un substrat Withdrawn EP1434897A1 (fr)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE10150776 2001-10-08
DE10150776 2001-10-08
DE10206984A DE10206984A1 (de) 2001-10-08 2002-02-20 Verfahren und Vorrichtung zum Abscheiden einer Vielzahl von Schichten auf einem Substrat
DE10206984 2002-02-20
PCT/EP2002/010051 WO2003031677A1 (fr) 2001-10-08 2002-09-07 Procede et dispositif pour deposer une pluralite de couches sur un substrat

Publications (1)

Publication Number Publication Date
EP1434897A1 true EP1434897A1 (fr) 2004-07-07

Family

ID=26010376

Family Applications (1)

Application Number Title Priority Date Filing Date
EP02779322A Withdrawn EP1434897A1 (fr) 2001-10-08 2002-09-07 Procede et dispositif pour deposer une pluralite de couches sur un substrat

Country Status (3)

Country Link
US (1) US20040255856A1 (fr)
EP (1) EP1434897A1 (fr)
WO (1) WO2003031677A1 (fr)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4499152A (en) * 1982-08-09 1985-02-12 General Electric Company Metal-clad laminate construction
IT1198290B (it) * 1986-12-02 1988-12-21 Sgs Microelettronica Spa Metodo di decontaminazione di una camera utilizzata nei processi sotto vuoto di deposizione,attacco o crescita di films di elevata purezza,di particolare applicazione nella tecnologia dei semiconduttori
JPS6476725A (en) * 1987-09-17 1989-03-22 Ricoh Kk Formation of multilayer film by reactive sputtering
US5549937A (en) * 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
US5976716A (en) * 1996-04-04 1999-11-02 Kennametal Inc. Substrate with a superhard coating containing boron and nitrogen and method of making the same
JPH11199864A (ja) * 1997-10-10 1999-07-27 Koto Gijutsu Kenkyuin Kenkyu Kumiai 電気発光素子の製造方法
KR100403435B1 (ko) * 1998-10-14 2003-10-30 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
US6478931B1 (en) * 1999-08-06 2002-11-12 University Of Virginia Patent Foundation Apparatus and method for intra-layer modulation of the material deposition and assist beam and the multilayer structure produced therefrom
KR100482753B1 (ko) * 1999-11-09 2005-04-14 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
ATE249532T1 (de) * 2000-02-04 2003-09-15 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6743488B2 (en) * 2001-05-09 2004-06-01 Cpfilms Inc. Transparent conductive stratiform coating of indium tin oxide

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO03031677A1 *

Also Published As

Publication number Publication date
WO2003031677A1 (fr) 2003-04-17
US20040255856A1 (en) 2004-12-23
WO2003031677B1 (fr) 2003-11-13

Similar Documents

Publication Publication Date Title
US5688565A (en) Misted deposition method of fabricating layered superlattice materials
US6110531A (en) Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
US6511718B1 (en) Method and apparatus for fabrication of thin films by chemical vapor deposition
DE19641058C2 (de) Verfahren zur Bildung eines ferroelektrischen Dünnfilms und Vorrichtung dafür
EP1733073B1 (fr) Procede de depot notamment d'oxydes metalliques par injection non continue de precurseurs
DE60035948T2 (de) Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE60038250T2 (de) Apparat und verfahren für die minimierung parasitischer cvd während der atomschicht-beschichtung
US5456945A (en) Method and apparatus for material deposition
US6056994A (en) Liquid deposition methods of fabricating layered superlattice materials
DE10208450B4 (de) Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
DE69926761T2 (de) Cvd-reaktorsystem und verfahren zur cvd-oberflächenbeschichtung
EP1844180B1 (fr) Distributeur de gaz muni de prechambres situees dans des plans
EP0885315A1 (fr) Appareil et procede de depot d'un precurseur nebulise a brouillard et ecoulement du brouillard ameliores
DE10007059A1 (de) Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
DE3507337C2 (fr)
DE19520961B4 (de) Verfahren zum Bilden einer ferroelektrischen Schicht
JPH0927602A (ja) キャパシタおよび高容量キャパシタの製造方法
WO2011023512A1 (fr) Procédé cvd et réacteur cvd
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
EP1861520B1 (fr) Organe d'admission de gaz pour un reacteur de depot chimique en phase vapeur
EP3610050B1 (fr) Dispositif de revêtement et procédés permettant le dépôt réactif en phase vapeur sous vide sur un substrat
DE10124609A1 (de) Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner aktiver Schichten auf insbesondere kristallinen Substraten aus gasförmigen Ausgangsstoffen
EP1434897A1 (fr) Procede et dispositif pour deposer une pluralite de couches sur un substrat
DE19851824C2 (de) CVD-Reaktor

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20040313

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LI LU MC NL PT SE SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: AIXTRON AG

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: AIXTRON SE

17Q First examination report despatched

Effective date: 20111208

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20120419