EP1434897A1 - Method and device for depositing a plurality of layers on a substrate - Google Patents

Method and device for depositing a plurality of layers on a substrate

Info

Publication number
EP1434897A1
EP1434897A1 EP02779322A EP02779322A EP1434897A1 EP 1434897 A1 EP1434897 A1 EP 1434897A1 EP 02779322 A EP02779322 A EP 02779322A EP 02779322 A EP02779322 A EP 02779322A EP 1434897 A1 EP1434897 A1 EP 1434897A1
Authority
EP
European Patent Office
Prior art keywords
process chamber
gas
solvent
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP02779322A
Other languages
German (de)
French (fr)
Inventor
Markus Schumacher
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10206984A external-priority patent/DE10206984A1/en
Application filed by Aixtron SE filed Critical Aixtron SE
Publication of EP1434897A1 publication Critical patent/EP1434897A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

The invention relates to a method for depositing a plurality of layers on a substrate using gaseous starting materials, whereby the layers are deposited in one single process chamber in successive process steps. The gas phase composition and/or the substrate temperature is varied without the process chamber being opened in the interim, in such a way that layers of different quality can be deposited successively in one deposition chamber.

Description

Verfahren und Vorrichtung zum Abscheiden einer Vielzahl von Schichten auf einem SubstratMethod and device for depositing a plurality of layers on a substrate
Die Erfindung betrifft ein Verfahren bzw. eine Vorrichtung zum Abscheiden einer Nielzahl von Schichten auf einem Substrat mittels gasförmiger Ausgangsstoffe.The invention relates to a method and a device for depositing a number of layers on a substrate by means of gaseous starting materials.
Eine Vorrichtung zum Abscheiden insbesondere von Silicium-Karbit oder Sili- cium-Germaniumkarbit beschreibt die WO 01/14619 AI.WO 01/14619 A1 describes a device for the deposition of, in particular, silicon carbide or silicon germanium carbite.
Die WO 01/61071 beschreibt eine Vorrichtung, mittels welcher OLED"S oder ähnliche Schichtstrukturen auf ein Substrat aufbringbar ist.WO 01/61071 describes a device by means of which OLED "S or similar layer structures can be applied to a substrate.
Die WO 01/57289 AI betrifft eine Vorrichtung zum Abscheiden ein oder meh- rerer Schichten auf ein Substrat, wobei als Ausgangsstoff flüssige oder feste Stoffe Verwendung finden, die gasförmig in die Prozesskammer eingebracht werden.WO 01/57289 A1 relates to a device for depositing one or more layers onto a substrate, liquid or solid substances being used as the starting material, which are introduced into the process chamber in gaseous form.
Im Bereich integrierter MIM Strukturen (Metal-Insulator-Metal) in hochinte- grierten CMOS Bauelementen werden zur Schichtdeposition von Elektroden und Dielektrika (hier speziell oxidische Dielektrika) Abscheideverfahren verwendet, die in der Regel sequentiell in verschiedenen Abscheidesystemen (Kammern) verlaufen und üblicherweise auch auf unterschiedlichen Depositi- onsmethoden (physikalisch, wie PVD oder auch chemische Verfahren (MOD); hier speziell Chemische Gasphasenabscheidung, kurz CND oder auch MOCVO (Metal-Organische Chemische Gasphasenabscheidung) basieren. Neben der Notwendigkeit eines extrem hohen Automatisierungsgrades beim Transport der zu prozessierenden Substrate zwischen den verschiedenen Prozesskammern, ergibt sich aufgrund der Komplexität ein erhöhter Nachteil hinIn the area of integrated MIM structures (metal-insulator-metal) in highly integrated CMOS components, deposition processes are used for the layer deposition of electrodes and dielectrics (here especially oxidic dielectrics), which usually run sequentially in different deposition systems (chambers) and usually also on different deposition methods (physical, such as PVD or chemical processes (MOD); here specifically chemical vapor deposition, CND for short, or MOCVO (metal-organic chemical vapor deposition)). In addition to the need for an extremely high degree of automation when transporting the substrates to be processed between the different process chambers, there is an increased disadvantage due to the complexity
sichtlich der Prozessstabilität, aber auch der "Cost of Ownership" bei der Unterhaltung der verschiedenen Depositionssysteme. Beim Transport der zu beschichtenden Substrate zwischen den einzelnen Prozesskammern sind hohe Handling-, Abkühl- und Aufheizzeiten heute normal, die jedoch einen minimal geforderten Waferdurchsatz bei der Großproduktion von Bauelementen maß- geblich negativ beeinflussen. Einen zentralen bauelementtechnischen Punkt stellt dabei im besonderen auch die Problematik, dass zwischen der Deposition von Unterelektrode und Dielektrikum bzw. zwischen Deposition von Dielektrikum und Überelektrode (speziell wie bei der Herstellung des sogenannten "Gate-Stacks" des integrierten MOS-Transistors üblich) eine chemische Verän- derung wie z. B. durch Nerunreinigungen der Oberflächen während des Wafer- Handlings (Wafer-Transport zwischen den Depositionsschritten) eine negative Beeinflussung der elektrischen Bauelementeigenschaften durch Grenzflächen- zustände verursacht.obviously the process stability, but also the "cost of ownership" when maintaining the various deposition systems. When handling the substrates to be coated between the individual process chambers, high handling, cooling and heating times are normal today, but they have a significant negative impact on the minimum required wafer throughput in the large-scale production of components. In particular, a central component-related point is the problem that between the deposition of the bottom electrode and the dielectric or between the deposition of the dielectric and the top electrode (especially as is customary in the production of the so-called "gate stack" of the integrated MOS transistor) Change such as B. caused by ner contaminations of the surfaces during the wafer handling (wafer transport between the deposition steps) a negative influence on the electrical component properties by interface states.
Der Erfindung liegt die Aufgabe zugrunde, eine Apparatur und ein Nerfahren zu entwickeln, welches oben genannte Nachteile reduziert, umgeht und eliminiert.The invention has for its object to develop an apparatus and a ner driving that reduces, bypasses and eliminates the disadvantages mentioned above.
Gelöst wird die Aufgabe dadurch, dass die Schichtstruktur ohne Wechsel in andere Depositionssysteme oder Kammern deponiert wird.The task is solved by depositing the layer structure without changing into other deposition systems or chambers.
Die Schichten werden in einer einzigen Prozesskammer in aufeinander abfolgenden Prozessschritten durch ledigliches Ändern der Gasphasenzusammensetzung und/ oder der Substrattemperatur abgeschieden. Die Schichtenfolge umf asst insbesondere zumindestens eine Oxid-Schicht, auf welcher eine Metallschicht bzw. eine Metallschicht, auf welche eine Isolierschicht und eine Metallschicht aufgebracht ist. Das Nerfahren zeichnet sich darüber hinaus dadurch aus, dass die Prozesskammer zwischen den einzelnen Prozessschritten abgepumpt und/ oder mit Inertgas gespült wird. Hierdurch wird der Druck innerhalb der Prozesskammer auf einen Wert gebracht, der erheblich niedriger ist, als der Prozessdruck. Die Wände der Prozesskammer können auf verschiedene Weise temperiert werden. Beim Wechsel der Gasphase kann die Prozesskammer mit Edelgasen, Ar, He, H2 oder Ν2 gespült werden. Während dieser Spülphase kann der Substrathalter, auf welchem sich das Substrat befindet und ein oberhalb des Substrathalters angeordnetes Gaseinlassorgan auf eine optimale Prozesstemperatur gebracht werden. Auch die Prozesskammerwände, durch welche das Gas entweichen kann, kann auf diese Weise auf die jeweils erforderliche Temperatur gebracht werden. Hierdurch wird eine Kondensation der gasförmig in die Gasphase eingebrachten Aus- gangsstoffe, die auch dort zerfallen können, vermieden.The layers are deposited in a single process chamber in successive process steps by merely changing the gas phase composition and / or the substrate temperature. The layer sequence comprises in particular at least one oxide layer, on which a metal layer or a metal layer, on which an insulating layer and a metal layer is applied. The ner driving is also characterized in that the process chamber is pumped out between the individual process steps and / or is flushed with inert gas. This brings the pressure inside the process chamber to a value that is considerably lower than the process pressure. The walls of the process chamber can be tempered in different ways. When changing the gas phase, the process chamber can be flushed with noble gases, Ar, He, H 2 or Ν 2 . During this rinsing phase, the substrate holder on which the substrate is located and a gas inlet element arranged above the substrate holder can be brought to an optimal process temperature. The process chamber walls through which the gas can escape can also be brought to the required temperature in this way. This avoids condensation of the starting materials introduced in gaseous form into the gas phase, which can also disintegrate there.
Die Gasphase wird durch die aktiv beheizte Wandung der Prozesskammer oder durch die mittels Wärmeleitung beheizte Wandung der Prozesskammer individuell temperiert, so dass die erforderlichen Gasphasenreaktionen zwischen den Ausgangsstoffen oder der Zerfall der Ausgangsstoffe optimiert wird. Das Gaseinlasssystem kann auch bereichsweise gekühlt werden, so dass eine Vorzerle- gung bzw. Vorreaktionen verhindert werden. Die abgeschiedenen Schichten haben unterschiedliche Aufgaben. Beispielsweise dient die metallische Schicht als Elektrode. Zwischen diesen beiden Elektroden befindet sich eine isolierende Schicht, so dass aus dem Schichtsystem Metall- Isolator-Metall ein Kondensator auf ebaut ist. In bekannter Weise werden die Metallschichten in dem Beschichtungsschritt folgenden Prozessschritten, in denen unter anderem das Substrat zerteilt wird, kontaktiert. Erfindungsgemäß werden in einer Multiprozesskammer in einer sequentiellen, rückwirkungsfreien CVD-Beschichtung Substrate abwechselnd mit leitenden und isolierenden Schichten beschichtet. Die Prozesskammer besteht aus einer vakuumdichten Kammer, einem temperierten Substrathalter, einem Gaseinlassorgan und einem Gasauslassorgan, an dem eine Pumpe angeschlossen ist. Der Substrathalter kann ebenso wie die dem Substrathalter gegenüberliegende Decke der Prozesskammer temperiert werden. Dies kann über einen elektrischen Widerstand, über infrarote Strahlung oder über elektromagnetische Hochfrequenz erfolgen. Eine notwendige Kühlung kann durch ein flüssiges Medium (H2O o. ä.) erfolgen. Auch die Prozesskammerwände können auf eine derartige Weise beheizt werden. In einer bevorzugten Ausgestaltung kann der Substrathalter auch über einen Rotationsantrieb drehangetrieben werden. Auf dem Substrathalter können selbst wieder drehangetriebene Substratträgerplatten liegen, welche plane- tenartig um das Zentrum des Substrathalters kreisen. Die Temperaturmessung im Substrathalter kann mittels eines Thermoelementes erfolgen, dessen Zuleitung durch die Drehdurchführung erfolgt. Bevorzugt besitzt die Prozesskammer im Bereich der die Reaktionskammer in der Geometrie bestimmenden und begrenzenden Flächen Zwischenvolumina. In diesen Zwischenvolumina befin- det sich jeweils ein Gas. Es kann sich dabei auch um das Prozessgas handeln. Der Druck in den Zwischenvolumina kann ebenso wie die Gaszusammensetzung in den Zwischenvolumina eingestellt werden. Hierdurch ist die thermische Leitfähigkeit der Zwischenvolumina einstellbar. Damit lassen sich die Temperatur der Prozesskamrnerwände kontrollieren. Die Wände der Zwi- schenvolumina können sehr dünne, inerte Quarzglasplatten sein. Es ist auch vorgesehen, dass derartige Platten an die Wände thermisch angekoppelt sind. Die Gase in den Zwischenvolumina können unterschiedliche Gaszusammensetzungen besitzen, beispielsweise Wasserstoff und Stickstoff. Auch eine Wasser- kühlung ist vorgesehen.The gas phase is individually tempered by the actively heated wall of the process chamber or by the wall of the process chamber heated by means of heat conduction, so that the required gas phase reactions between the starting materials or the decomposition of the starting materials is optimized. The gas inlet system can also be cooled in some areas so that pre-disassembly or pre-reactions are prevented. The deposited layers have different tasks. For example, the metallic layer serves as an electrode. There is an insulating layer between these two electrodes, so that a capacitor is built up from the metal-insulator-metal layer system. The metal layers are contacted in a known manner in the process steps following the coating step, in which, among other things, the substrate is divided. According to the invention, substrates are alternately coated with conductive and insulating layers in a multi-process chamber in a sequential, non-reactive CVD coating. The process chamber consists of a vacuum-tight chamber, a temperature-controlled substrate holder, a gas inlet element and a gas outlet element to which a pump is connected. The substrate holder, like the ceiling of the process chamber opposite the substrate holder, can be tempered. This can be done using an electrical resistor, infrared radiation or electromagnetic high frequency. A necessary cooling can be done with a liquid medium (H2O or similar). The process chamber walls can also be heated in this way. In a preferred embodiment, the substrate holder can also be driven in rotation via a rotary drive. The substrate holder itself can again have rotationally driven substrate carrier plates which circle like a planet around the center of the substrate holder. The temperature measurement in the substrate holder can be carried out by means of a thermocouple, the supply of which is carried out through the rotating union. The process chamber preferably has intermediate volumes in the region of the surfaces which define and delimit the geometry of the reaction chamber. There is a gas in each of these intermediate volumes. It can also be the process gas. The pressure in the intermediate volumes as well as the gas composition in the intermediate volumes can be adjusted. As a result, the thermal conductivity of the intermediate volumes can be set. This allows the temperature of the process chamber walls to be checked. The walls of the two volume can be very thin, inert quartz glass plates. It is also provided that such plates are thermally coupled to the walls. The gases in the intermediate volumes can have different gas compositions, for example hydrogen and nitrogen. Water cooling is also provided.
In einer Weiterbildung der Erfindung ist vorgesehen, dass die Prozessgase gepulst in die Prozesskammer eingebracht werden. Die Prozessgase können aus flüssigen oder festen Ausgangssubstanzen erzeugt sein. Sie können beispielsweise aus Verdampfern entstammen. Sie werden dort durch Temperierung in einen gasförmigen Zustand gebracht. Bevorzugt erfolgt der Übergang von flüssigen Ausgangsstoffen in die Gasphase durch ein kontaktfreies Verdampfen. Die Flüssigkeitsbehälter, in welchem sich die flüssigen Ausgangsstoffe bef in- den, können auch von einem Träger gasstrom durchströmt werden.In a development of the invention it is provided that the process gases are introduced into the process chamber in a pulsed manner. The process gases can be generated from liquid or solid starting substances. For example, they can come from evaporators. There they are brought into a gaseous state by tempering. The transition from liquid starting materials into the gas phase is preferably carried out by non-contact evaporation. A gas stream can also flow through the liquid containers in which the liquid starting materials are located.
Der Trägergasstrom sättigt sich dann mit dem Gas des Ausgangsstoffes. Zur Temperierung, also insbesondere zur Beheizung oder auch zur Kühlung der Prozesskammerwände und der Prozesskammerdecke kann eine thermische Ankopplung der Prozesskammerdecke oder Prozesskammerwände über einen Gasspalt an eine Wärmesenke oder an eine Wärmequelle vorgesehen sein. Parasitäre Memory-Effekte zwischen unterschiedlichen Depositionssequenzen (Dielektrika, Elektroden; aber auch unterschiedliche Dielektrika oder Elektroden) werden durch Abpumpen der Prozesskammer auf einen Druck unterhalb des Prozessdruckes vermieden. Allerdings sollte der Druck den Basisdruck des Systems nicht unterschreiten. Eine Restverunreinigung durch chemische Substanzen aus vorherigen Prozesssequenzen werden bevorzugt durch Messung mittels eines Restgasanalysators überwacht.The carrier gas stream then saturates with the gas of the starting material. For temperature control, in particular for heating or also for cooling the process chamber walls and the process chamber ceiling, a thermal coupling of the process chamber ceiling or process chamber walls can be provided via a gas gap to a heat sink or to a heat source. Parasitic memory effects between different deposition sequences (dielectrics, electrodes; but also different dielectrics or electrodes) are avoided by pumping down the process chamber to a pressure below the process pressure. However, the pressure should not fall below the base pressure of the system. Residual contamination by chemical substances from previous process sequences is preferably monitored by measurement using a residual gas analyzer.
Die Schichtdicke kann in situ während des Prozesses über optische Methode überwacht werden. Es ist insbesondere vorgesehen, das Schichtdickenwachstum über in situ-Ellipsometrie zu verfolgen.The layer thickness can be monitored in situ during the process using an optical method. In particular, it is provided to track the layer thickness growth using in situ ellipsometry.
Die Erfindung schlägt zur Vermeidung der Eingangs geschilderten Nachteile eine Vorrichtung und ein Verfahren vor, bei dem in einer einzigen Apparatur und in einem einzigen Prozessschritt eine vollständige MIM-Struktur oder zu- mindestens Teile dieser Struktur herstellbar ist. Die leitenden (Elektroden) und isolierenden Schichten (Dielektrika, oxidische Dielektrika) werden hier im besonderen nach dem sogenannten sequentiellen Heterowafer MOCVD- Verfahren hergestellt. Dabei erfolgt das Aufbringen von Elektroden/ Isolator- Schichtsystem in nur einer einzigen Prozesskammer, bei dem das zu beschichtende Substrat ohne Wechsel in andere Prozesskammern während des gesamten Prozessflows in dieser einen Prozesskammer verbleibt. Um parasitäre Memory-Effekte zwischen unterschiedlichen Prozesssequenzen zu vermeiden, wird die Prozesskammer auf minimale Totvolumina ausgelegt. Dabei kann zwischen den Prozesssequenzen die Verunreinigung durch ein Abpumpen der Prozesskammer auf einen Druck niedriger als der Prozessdruck (jedoch minimal den Basisdruck der Prozesskammer) auf ein Minimum reduziert werden. Ein Monitoring der Restverunreinigungen wird über ein sogenanntes RGA oder auch Restgasanalysator bewerkstelligt. Ein dennoch kontinuierlicher Gass- trom in eine Vorzugsrichtung ist vorteilhaft.To avoid the disadvantages described at the outset, the invention proposes a device and a method in which a complete MIM structure or at least parts of this structure can be produced in a single apparatus and in a single process step. The conductive (electrodes) and insulating layers (dielectrics, oxidic dielectrics) are produced here in particular according to the so-called sequential hetero wafer MOCVD process. The electrode / insulator layer system is applied in only one process chamber, in which the substrate to be coated remains in this one process chamber during the entire process flow without changing to other process chambers. In order to avoid parasitic memory effects between different process sequences, the process chamber is designed for minimal dead volumes. The contamination between the process sequences can be reduced to a minimum by pumping down the process chamber to a pressure lower than the process pressure (but minimally the base pressure of the process chamber). Residual impurities are monitored using a so-called RGA or residual gas analyzer. A continuous gas flow in a preferred direction is advantageous.
Bei der Deposition von (oxidischen) Dielektrika und Elektroden werden überli- cherweise metallorganische Ausgangssubstanzen (Prekursoren) verwendet, welche sich im allgemeinsten Fall im Dampfdruck und auch im Zersetzungs- verhalten unterscheiden. Diese Prekusoren werden entweder über sogenannte "Bubbler" direkt verdampft und in die Prozesskammer eingeleitet oder über die Lösung der Prekusoren in einem geeigneten Lösungsmittel als Flüssig/Feststoff-Lösung in ein oder mehrere geheizte(s) Volumen(ina) (Verdampfer) eingebracht, verdampft und in die Prozesskammer zur Reaktion auf dem zu beschichtenden Substrat eingeleitet. Diese Prekusoren besitzen oft ein sehr enges Prozessfenster zwischen Kondensation und Zerfall welches eine exakte Temperierung aller begrenzenden Wände notwendig macht. Um jedoch eine schnelle Anpassung aller Wandtemperaturen bei Prozesssequenzwechsel zu ermöglichen, müssen alle die Prozesskammer definierenden Begrenzungsflächen über eine geringe Wärmekapazität verfügen, was in der Regel nur schwer realisierbar ist. Um dieses Problem zu umgehen, werden sogenannte Zwischenvolumina eingebracht, die in Druck und Wärmeleitung einstellbar, an sehr dünne, inerte (z. B. Quarzglas) dem Inneren der Prozesskammer zuge- wandten Wände angekoppelt sind. Eine Temperierung der inneren Wände erfolgt somit über Wärmetransport von der temperierten Prozesskammer- Außenwand über ein in Wärmeleitung einstellbares Zwischenvolumen zur Prozesskamrner-Inneren zugewandten inerten, dünnen Innenwand. Die Einstellung der Wärmeleiteigenschaften der Zwischenvolumina erfolgt über ein Gasgemisch mindestens zweier mit unterschiedlichen Wärmeleitkoeffizienten behafteten Gasen, welches zusätzlich im Druck frei einstellbar ist. Es können verschiedene Bereiche der Wände und des Gaseinlasses unterschiedlich temperiert werden.In the deposition of (oxidic) dielectrics and electrodes, organometallic starting substances (precursors) are usually used, which in the most general case are in the vapor pressure and also in the decomposition differentiate behavior. These precusors are either evaporated directly via so-called "bubblers" and introduced into the process chamber or introduced into one or more heated volumes (ina) (evaporator) via the solution of the precusors in a suitable solvent as a liquid / solid solution and introduced into the process chamber for reaction on the substrate to be coated. These precusors often have a very narrow process window between condensation and decay, which requires an exact temperature control of all bounding walls. However, in order to enable a quick adjustment of all wall temperatures when changing the process sequence, all the boundary surfaces defining the process chamber must have a low heat capacity, which is usually difficult to achieve. In order to avoid this problem, so-called intermediate volumes are introduced, which are adjustable in pressure and heat conduction, and are coupled to very thin, inert (eg quartz glass) walls facing the interior of the process chamber. The inner walls are thus tempered by heat transfer from the temperature-controlled process chamber outer wall via an intermediate thin volume which is adjustable in the heat conduction to the inner, thin inner wall facing the process chamber. The thermal conductivity properties of the intermediate volumes are set via a gas mixture of at least two gases with different thermal conductivity coefficients, which can also be freely adjusted in pressure. Different areas of the walls and the gas inlet can be tempered differently.
Ausführungsbeispiele der Erfindung werden nachfolgend anhand beigefügter Zeichnungen erläutert. Es zeigen:Embodiments of the invention are explained below with reference to the accompanying drawings. Show it:
Fig. 1 Ein erstes Ausführungsbeispiel einer CND- Anlage zur Durchführung des Verfahrens. Fig. 2 Ein zweites Ausführungsbeispiel einer CND- Anlage zur Durchführung des Verfahrens.Fig. 1 A first embodiment of a CND system for performing the method. Fig. 2 shows a second embodiment of a CND system for performing the method.
Die in den Zeichnungen dargestellte Vorrichtung besitzt eine Prozesskamrner 6, die allseitig umschlossen ist. Die Prozesskammer 6 besitzt eine Zuleitung, die zu einem Gaseinlassorgan 7 führt. Durch diese Zuleitung kann entweder ein Prozessgas bzw. ein mit einem Trägergas gemischtes Prozessgas in die Prozesskammer 6 geleitet werden oder ein Spülgas durch die Leitung 5.The device shown in the drawings has a process chamber 6 which is enclosed on all sides. The process chamber 6 has a feed line which leads to a gas inlet element 7. This feed line can either be used to feed a process gas or a process gas mixed with a carrier gas into the process chamber 6 or a purge gas through the line 5.
Das Gaseinlassorgan 7 besteht aus einem flachzylindrigen Hohlkörper, dessen Boden eine Vielzahl von siebartig angeordneten Öffnungen aufweist, aus welchen das Gas in die Prozesskamrner 6 hineinströmen kann. In einer parallelen Abstandslage zum Gaseinlassorgan 7 befindet sich unterhalb davon ein Substrathalter 8 zur Aufnahme eines Substrates. Unterhalb des Substrathalters 8, der durch in den Zeichnungen nicht dargestellte Mittel auch gedreht werden kann, befindet sich eine Heizung 12. Bei dieser Heizung kann es sich um eine HF-Heizung, oder auch IR-Heizung handeln.The gas inlet member 7 consists of a flat cylindrical hollow body, the bottom of which has a plurality of openings arranged in a sieve shape, from which the gas can flow into the process chamber 6. In a spaced position parallel to the gas inlet element 7, there is a substrate holder 8 for receiving a substrate. Below the substrate holder 8, which can also be rotated by means not shown in the drawings, there is a heater 12. This heater can be an HF heater or an IR heater.
Nicht nur der Substrathalter 8 kann nämlich aufgeheizt werden auf eine Temperatur, bei welcher die Prozessgase sich zerlegen. Auch die Wände des Prozesskammergehäuses 11 können Heizungen 13 aufweisen, um die Wände aufzuheizen. Die Wandtemperatur liegt dabei niedriger als die Temperatur des Substrathalters 8.Not only the substrate holder 8 can namely be heated up to a temperature at which the process gases decompose. The walls of the process chamber housing 11 can also have heaters 13 in order to heat the walls. The wall temperature is lower than the temperature of the substrate holder 8.
Unterhalb des Substrathalters 8 befindet sich eine Gasableitung. Durch diese Ableitung wird das nicht verbrauchte Gas von einer Pumpe 10 aus der Prozesskammer 6 herausgepumpt. In der Gasableitung befindet sich ein Restgasanalysator 9, der die Zusammensetzung des aus der Prozesskammer 6 abgepumpten Gases massenspektrome- trisch untersucht.A gas discharge line is located below the substrate holder 8. By means of this discharge, the unused gas is pumped out of the process chamber 6 by a pump 10. There is a residual gas analyzer 9 in the gas discharge line, which analyzes the composition of the gas pumped out of the process chamber 6 by mass spectrometry.
Die beiden in den Zeichnungen dargestellten Ausführungsbeispiele unterscheiden sich im Wesentlichen durch die Gestalt der Quellen. In den Zeichnungen ist der Übersichtlichkeit halber nur eine einzige Quelle dargestellt. Zur Abscheidung von Metall-Isolator-Metall-Schichten können aber eine Vielzahl, insbesondere drei Quellen notwendig sein. Eine erste Quelle zur Bereitstellung einer metallorganischen Verbindung, beispielsweise einer metallorganischen Platinverbindung zur Abscheidung der ersten Metallisierungsschicht, einer zweiten Quelle, die ein Barium-Strontium-Titan-Oxyd beinhaltet, ein sogenanntes Perovskit, zur Abscheidung eines Dielektrikums und einer dritten Quelle, die beispielsweise eine metallorganische Ruthenium Verbindung beinhaltet, zur Ab- Scheidung der zweiten Metallisierungsschicht. Die metallorganischen Verbindungen können ebenso wie die BST- Verbindung in einem Lösungsmittel gelöst werden. Sie befinden sich dann in einem Tank 1, in welchen Trägergas durch eine Zuleitung 4 eingeleitet wird. Bei dem in Fig. 1 dargestellten Ausführungsbeispiel fungiert der Tank als Waschflasche. Bei dem in Fig. 2 dargestellten Aus- führungsbeispiel wird die in dem Tank befindliche Flüssigkeit durch ein Steigrohr in einen Verdampfer 2 gedrückt. In beiden Fällen wird die in eine Gasform überführte metallorganische Verbindung bzw. die BST- Verbindung über eine Rohrleitung, die mittels eines Ventiles 3 verschließbar ist, dem Gaseinlassorgan 7 zugeführt.The two exemplary embodiments shown in the drawings differ essentially in the shape of the sources. For the sake of clarity, only a single source is shown in the drawings. A large number, in particular three sources, may be necessary for the deposition of metal-insulator-metal layers. A first source for providing an organometallic compound, for example an organometallic platinum compound for depositing the first metallization layer, a second source which contains a barium strontium titanium oxide, a so-called perovskite, for depositing a dielectric and a third source, for example a contains organometallic ruthenium compound for the deposition of the second metallization layer. Like the BST compound, the organometallic compounds can be dissolved in a solvent. You are then in a tank 1, into which carrier gas is introduced through a feed line 4. In the embodiment shown in Fig. 1, the tank acts as a wash bottle. In the exemplary embodiment shown in FIG. 2, the liquid in the tank is pressed through an ascending pipe into an evaporator 2. In both cases, the organometallic connection converted into a gas or the BST connection is fed to the gas inlet element 7 via a pipeline which can be closed by means of a valve 3.
Das Verfahren wird wie folgt ausgeübt:The procedure is carried out as follows:
In einem ersten Prozessschritt wird eine Metallschicht auf das auf dem Substrathalter 8 aufliegende Substrat abgeschieden, indem ein in einem Lö- sungsmittel gelöster metallorganischer Ausgangsstoff, der Platin enthält, in eine Gasform gebracht wird. Das Substrat besteht vorzugsweise aus Silizium. Der Ausgangsstoff wird zusammen mit dem Lösungsmittel dem duschkopf artigen Gaseinlassorgan 7 zugeführt und durch die Öffnungen des Gaseinlassorganes 7 in die Prozesskammer 6 gebracht. Durch einen geeigneten Gasphasentransport (Konvektion und Diffusion) gelangt das Gas zum heißen Substrathalter 8, wo auf der Oberfläche eine Zerlegungsreaktion stattfindet. Als Reaktionsprodukt entsteht eine Platinschicht auf dem Substrat. Sobald die erforderliche Schichtdicke abgeschieden ist, wird das Ventil 3 geschlossen und durch die Leitung 5 ein Inertgas in die Prozesskammer eingeleitet. Während des Spülens der Prozesskammer 6 mit dem Inertgas kann die Pumpe 10 den Innendruck in der Prozesskammer 6 mehrfach absenken, so dass ein erhöhter Gasaustausch stattfindet. Der Restgasanteil wird mittels des Restgasanalysators 9 spektrometrisch gemessen. Es wird dabei die Konzentration des Lösungsmittels und die Kon- zentration der metallorganischen Verbindung gemessen. Unterschreitet die Konzentration des gemessenen Restgases ein Limit, so wird der Spülvorgang abgeschlossen.In a first process step, a metal layer is deposited on the substrate lying on the substrate holder 8 by a Solvent dissolved organometallic material containing platinum is brought into a gas form. The substrate is preferably made of silicon. The starting material is fed together with the solvent to the shower head-like gas inlet member 7 and brought into the process chamber 6 through the openings of the gas inlet member 7. A suitable gas phase transport (convection and diffusion) brings the gas to the hot substrate holder 8, where a decomposition reaction takes place on the surface. A platinum layer is formed on the substrate as a reaction product. As soon as the required layer thickness has been deposited, the valve 3 is closed and an inert gas is introduced into the process chamber through the line 5. During the purging of the process chamber 6 with the inert gas, the pump 10 can lower the internal pressure in the process chamber 6 several times, so that an increased gas exchange takes place. The residual gas fraction is measured spectrometrically by means of the residual gas analyzer 9. The concentration of the solvent and the concentration of the organometallic compound are measured. If the concentration of the measured residual gas falls below a limit, the purging process is completed.
An den Spülvorgang schließt sich ein zweiter Prozessschritt an, bei dem an- stelle der metallorganischen Platinverbindung eine Barium-Strontium-Titan- Sauerstoffverbindung, ein sogenanntes Perovskit, in die Prozesskammer 6 durch das Gaseinlassorgan 7 eingeleitet wird. Wichtig ist, dass vor der Einleitung dieses, zu einem Dielektrikum abscheidenden Gases die Prozesskammer 6 im Wesentlichen lösungsmittelfrei ist, da die BST- Verbindung sehr empfindlich auf Lösungsmittel in der Gasphase reagiert.The rinsing process is followed by a second process step in which, instead of the organometallic platinum compound, a barium-strontium-titanium-oxygen compound, a so-called perovskite, is introduced into the process chamber 6 through the gas inlet element 7. It is important that the process chamber 6 is essentially solvent-free before the introduction of this gas, which deposits a dielectric, since the BST compound reacts very sensitively to solvents in the gas phase.
Durch eine geeignete Temperatur bzw. durch geeignete andere Prozessparameter läßt sich die Stöchiometrie des Barium-Strontium-Titan-Oxyds einstellen. Es läßt sich damit die Dielektrizität der dielektrischen Schicht beeinflussen. Anstelle eines dielektrischen Materials kann aber auch ein ferroelektrisches Material abgeschieden werden. Auch hier wird bei Erreichen der erforderlichen Schichtdicke, die in situ während des Wachstums gemessen werden kann, der Gaszufluss durch Schließen eines Ventiles abgeschlossen. Danach erfolgt, wie oben beschrieben, eine Spülung der Prozesskammer 6, wobei auch hierbei die Leistung der Pumpe kurzzeitig erhöht werden kann, um den Totaldruck innerhalb der Prozesskammer 6 abzusenken.The stoichiometry of the barium strontium titanium oxide can be set by means of a suitable temperature or by means of suitable other process parameters. The dielectric of the dielectric layer can thus be influenced. Instead of a dielectric material, a ferroelectric material can also be deposited. Here too, when the required layer thickness, which can be measured in situ during growth, is reached, the gas flow is closed by closing a valve. Thereafter, as described above, the process chamber 6 is flushed, the output of the pump also being able to be briefly increased in order to lower the total pressure within the process chamber 6.
Der Restgasanteil wird auch hier mittels des Restgasanalysators 9 spektrome- trisch untersucht. Hierbei wird die Sauerstoff konzentration in der Gasphase überwacht. Unterschreitet diese ein Limit, so wird der Spülvorgang beendet. An den zweiten Prozessschritt schließt sich ein dritter Prozessschritt an, der im Wesentlichen so durchgeführt wird wie der erste Prozessschritt, nur dass an- stelle einer platinhaltigen metallorganischen Verbindung eine rutheniumhaltige metallorganische Verbindung gewählt wird. Nach dem dritten Prozessschritt kann sich ebenfalls eine Spülung der Prozesskammer 6 anschließen.The residual gas fraction is also examined here spectrometrically by means of the residual gas analyzer 9. Here the oxygen concentration in the gas phase is monitored. If this falls below a limit, the rinsing process is ended. The second process step is followed by a third process step, which is essentially carried out in the same way as the first process step, except that an organometallic compound containing ruthenium is chosen instead of an organometallic compound containing platinum. After the third process step, the process chamber 6 can also be rinsed.
Alle offenbarten Merkmale sind (für sich) erfindungswesentlich. In die Off en- barung der Anmeldung wird hiermit auch der Offenbarungsinhalt der zugehörigen/beigefügten Prioritätsunterlagen (Abschrift der Voranmeldung) vollinhaltlich mit einbezogen, auch zu dem Zweck, Merkmale dieser Unterlagen in Ansprüche vorliegender Anmeldung mit aufzunehmen. All of the features disclosed are (in themselves) essential to the invention. The disclosure of the associated / attached priority documents (copy of the pre-registration) is hereby also included in full in the disclosure of the application, also for the purpose of including features of these documents in claims of the present application.

Claims

ANSPRÜCHE EXPECTATIONS
1. Verfahren zum Abscheiden einer Vielzahl von Schichten auf einem Substrat mittels gasförmiger Ausgangsstoffe, dadurch gekennzeichnet, dass die Schichten in einer einzigen Prozesskammer in aufeinander abfolgenden Prozessschritten durch ledigliches Ändern der Gasphasenzusammensetzung in der Prozesskammer und/ oder der Substrattemperatur abgeschieden werden.1. A method for depositing a plurality of layers on a substrate by means of gaseous starting materials, characterized in that the layers are deposited in a single process chamber in successive process steps by merely changing the gas phase composition in the process chamber and / or the substrate temperature.
2. Vorrichtung zum Abscheiden einer Vielzahl von Schichten auf einem Substrat mittels in eine Prozesskammer eingebrachter flüssiger Ausgangsstoffe, dadurch gekennzeichnet, dass die Gasphasenzusammenset- zung und/ oder die Substrattemperatur zur Abscheidung einer Vielzahl von Schichten auf einem Substrat ohne Öffnung der Prozesskammer abscheidbar sind.2. Device for depositing a large number of layers on a substrate by means of liquid starting materials introduced into a process chamber, characterized in that the gas phase composition and / or the substrate temperature for depositing a large number of layers on a substrate can be deposited without opening the process chamber.
3. Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Schichtenfolge mindestens eine Oxidschicht und eine darauf abgeschiedene Metallschicht umfasst.3. The method or device according to one or more of the preceding claims or in particular according thereto, characterized in that the layer sequence comprises at least one oxide layer and a metal layer deposited thereon.
4. Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Prozesskammer zwischen den einzelnen Prozessschritten abgepumpt und/ oder mit Inertgas gespült wird.4. The method or device according to one or more of the preceding claims or in particular according thereto, characterized in that the process chamber is pumped out between the individual process steps and / or flushed with inert gas.
5. Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Wände der Prozesskammer auf unterschiedliche Temperaturen temperierbar sind bzw. temperiert werden.5. The method or device according to one or more of the preceding claims or in particular according thereto, characterized in that that the walls of the process chamber can be tempered to different temperatures or are tempered.
6. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekenn- zeichnet, dass in einem ersten Prozessschritt dadurch eine Metallschicht auf das Substrat oder auf eine zuvor auf das Substrat abgeschiedene Schicht abgeschieden wird, dass ein gegebenenfalls in einem Lösungsmittel gelöster metallorganischer Ausgangsstoff in die Gasphase gebracht wird und gegebenenfalls zusammen mit dem Lösungsmittel ver- mittelst eines duschkopf artigen Gaseinlassorganes (7) in die Prozesskammer (6) gebracht wird und nach Abschalten der Zufuhr dieses Ausgangsstoffes das Gasneinlassorgan (7) und die Prozesskammer (6) mit Inertgas (5) gespült werden, wobei das aus der Prozesskammer (6) gepumpte Abgas, insbesondere massenspektrometrisch auf Restbestand- teile des Ausgangsstoffes bzw. des Lösungsmittels analysiert werden und erste bei Unterschreiten eines minimalen Wertes der Restgaskonzentration insbesondere der Lösungsmittel-Konzentration der Spülvorgang beendet wird.6. The method according to any one of the preceding claims, characterized in that in a first process step, a metal layer is deposited on the substrate or on a layer previously deposited on the substrate such that an organometallic starting material which is optionally dissolved in a solvent is brought into the gas phase is brought into the process chamber (6) together with the solvent by means of a shower head-like gas inlet element (7) and, after the supply of this starting material has been switched off, the gas inlet element (7) and the process chamber (6) are flushed with inert gas (5) , The exhaust gas pumped out of the process chamber (6) being analyzed, in particular by mass spectrometry, for residual constituents of the starting material or the solvent, and the purging process is terminated first when the minimum gas concentration, in particular the solvent concentration, falls below a minimum value.
7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass in einem zweiten Prozessschritt dadurch eine dielektrische Schicht auf die Metallschicht abgeschieden wird, dass als Ausgangsstoff ein gegebenenfalls in einem Lösungsmittel gelöstes Perovskit in die Gasphase gebracht wird, und gegebenenfalls zusammen mit dem Lösungsmittel vermittels des duschkopfartigen Gaseinlassorganes (7) in die Prozesskammer (6) gebracht wird und nach Abschalten der Zufuhr dieses Ausgangsstoffes das Gaseinlassorgan (7) und die Prozesskammer (6) mit einem Inertgas (5) gespült werden, wobei das aus der Prozesskammer (6) abgepumpte Abgas insbesondere massenspektrometrisch auf Restbestandteile des Aus- gangsstoff es analysiert wird und erst bei Unterschreiten eines minimalen Wertes der Restgaskonzentration, insbesondere der Sauerstoffkonzentration, der Spülvorgang beendet wird.7. The method according to claim 6, characterized in that in a second process step, a dielectric layer is deposited on the metal layer in that a perovskite, optionally dissolved in a solvent, is brought into the gas phase as the starting material, and optionally together with the solvent by means of the shower head-like Gas inlet member (7) is brought into the process chamber (6) and after switching off the supply of this starting material, the gas inlet member (7) and the process chamber (6) are flushed with an inert gas (5), the exhaust gas pumped out of the process chamber (6) in particular mass spectrometry on residual components of the it is analyzed and the purging process is only ended when the minimum gas concentration, in particular the oxygen concentration, falls below a minimum value.
8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass in einem dritten Prozessschritt dadurch eine Metallschicht auf eine zuvor abgeschiedene dielektrische Schicht abgeschieden wird, dass ein gegebenenfalls in einem Lösungsmittel gelöster metallorganischer Ausgangsstoff in die Gasform gebracht wird und gegebenenfalls zusammen mit dem Lö- sungsmittel vermittelst des duschkopf artigen Gaseinlassorganes (7) in die Prozesskammer (6) geleitet wird und nach Abschalten der Zufuhr dieses Ausgangsstoffes das Gaseinlassorgan (7) und die Prozesskammer (6) mit einem Inertgas (5) gespült werden, wobei das aus der Prozesskammer (6) abgepumpte Abgas insbesondere massenspektrometrisch auf Restbestandteile des Ausgangsstoffes bzw. des Lösungsmittels analysiert wird und erst bei Unterschreiten eines minimalen Wertes der Restgaskonzentration der Spülvorgang beendet wird.8. The method according to claim 7, characterized in that in a third process step, a metal layer is deposited on a previously deposited dielectric layer, that an organometallic starting material, which is optionally dissolved in a solvent, is brought into the gas form and, if appropriate, mediated together with the solvent of the shower head-like gas inlet member (7) is passed into the process chamber (6) and, after switching off the supply of this starting material, the gas inlet member (7) and the process chamber (6) are flushed with an inert gas (5), the process chamber (6) Pumped-off exhaust gas is analyzed, in particular, by mass spectrometry for residual constituents of the starting material or solvent, and the purging process is only ended when the residual gas concentration falls below a minimum value.
9. Verfahren nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Spülung der Prozesskammer (6) mit dem Inertgas (5) mit ein oder mehreren Druckwechseln einhergeht.9. The method according to one or more of the preceding claims or in particular according thereto, characterized in that the flushing of the process chamber (6) with the inert gas (5) is accompanied by one or more pressure changes.
10. Verfahren nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die erste Metallschicht eine Platinschicht ist.10. The method according to one or more of the preceding claims or in particular according thereto, characterized in that the first metal layer is a platinum layer.
11. Verfahren nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die dielektri- sehe Schicht aus einer Barium-Strontium-Titan-Sauerstoff -Verbindung besteht. 11. The method according to one or more of the preceding claims or in particular according thereto, characterized in that the dielectric see layer consists of a barium-strontium-titanium-oxygen compound.
12. Verfahren nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die zweite Me- tallschicht eine Rutheniumschicht ist. 12. The method according to one or more of the preceding claims or in particular according thereto, characterized in that the second metal layer is a ruthenium layer.
EP02779322A 2001-10-08 2002-09-07 Method and device for depositing a plurality of layers on a substrate Withdrawn EP1434897A1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE10150776 2001-10-08
DE10150776 2001-10-08
DE10206984 2002-02-20
DE10206984A DE10206984A1 (en) 2001-10-08 2002-02-20 Process for depositing a number of layers on a substrate comprises depositing the layers in a single process chamber in subsequent process steps by changing the gas phase composition in the process chamber and/or the substrate temperature
PCT/EP2002/010051 WO2003031677A1 (en) 2001-10-08 2002-09-07 Method and device for depositing a plurality of layers on a substrate

Publications (1)

Publication Number Publication Date
EP1434897A1 true EP1434897A1 (en) 2004-07-07

Family

ID=26010376

Family Applications (1)

Application Number Title Priority Date Filing Date
EP02779322A Withdrawn EP1434897A1 (en) 2001-10-08 2002-09-07 Method and device for depositing a plurality of layers on a substrate

Country Status (3)

Country Link
US (1) US20040255856A1 (en)
EP (1) EP1434897A1 (en)
WO (1) WO2003031677A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004061094A1 (en) * 2004-12-18 2006-06-22 Aixtron Ag Deposition of single layers, on a flat or structured substrate, uses a limiter to stop the deposition automatically when the layer is closed
JP2007088199A (en) * 2005-09-22 2007-04-05 Canon Inc Processing equipment

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4499152A (en) * 1982-08-09 1985-02-12 General Electric Company Metal-clad laminate construction
IT1198290B (en) * 1986-12-02 1988-12-21 Sgs Microelettronica Spa METHOD OF DECONTAMINATION OF A CHAMBER USED IN PROCESSES UNDER VACUUM DEPOSITION, ATTACK OR GROWTH OF HIGH PURITY FILMS, OF PARTICULAR APPLICATION IN THE SEMICONDUCTOR TECHNOLOGY
JPS6476725A (en) * 1987-09-17 1989-03-22 Ricoh Kk Formation of multilayer film by reactive sputtering
US5549937A (en) * 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
US5976716A (en) * 1996-04-04 1999-11-02 Kennametal Inc. Substrate with a superhard coating containing boron and nitrogen and method of making the same
JPH11199864A (en) * 1997-10-10 1999-07-27 Koto Gijutsu Kenkyuin Kenkyu Kumiai Production of electroluminescence device
KR100403435B1 (en) * 1998-10-14 2003-10-30 가부시끼가이샤 히다치 세이사꾸쇼 Semiconductor device and method for manufacturing the same
US6478931B1 (en) * 1999-08-06 2002-11-12 University Of Virginia Patent Foundation Apparatus and method for intra-layer modulation of the material deposition and assist beam and the multilayer structure produced therefrom
KR100482753B1 (en) * 1999-11-09 2005-04-14 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
DE50100603D1 (en) * 2000-02-04 2003-10-16 Aixtron Ag DEVICE AND METHOD FOR DEPOSITING ONE OR MORE LAYERS ONTO A SUBSTRATE
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6743488B2 (en) * 2001-05-09 2004-06-01 Cpfilms Inc. Transparent conductive stratiform coating of indium tin oxide

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO03031677A1 *

Also Published As

Publication number Publication date
WO2003031677A1 (en) 2003-04-17
US20040255856A1 (en) 2004-12-23
WO2003031677B1 (en) 2003-11-13

Similar Documents

Publication Publication Date Title
KR100203000B1 (en) Apparatus for and method of forming thin film by chemical vapor deposition
US5688565A (en) Misted deposition method of fabricating layered superlattice materials
US6110531A (en) Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
US6511718B1 (en) Method and apparatus for fabrication of thin films by chemical vapor deposition
DE19641058C2 (en) Method of forming a ferroelectric thin film and device therefor
EP1733073B1 (en) Method for the deposition in particular of metal oxides by non-continuous precursor injection
DE60035948T2 (en) CHEMICAL SEPARATION REACTOR AND ITS USE IN THE DISPOSAL OF A THIN FILM
DE10132882B4 (en) A method of forming a thin film using atomic layer deposition
US5456945A (en) Method and apparatus for material deposition
US6056994A (en) Liquid deposition methods of fabricating layered superlattice materials
DE10208450B4 (en) Process for the deposition of thin layers by means of ALD / CVD processes in connection with fast thermal processes
DE69926761T2 (en) CVD REACTOR SYSTEM AND METHOD OF CVD SURFACE COATING
EP1844180B1 (en) Gas distributor with pre-chambers arranged in planes
EP0885315A1 (en) Misted precursor deposition apparatus and method with improved mist and mist flow
DE10007059A1 (en) Method and device for producing coated substrates by means of condensation coating
DE3507337C2 (en)
DE19520961B4 (en) Method for forming a ferroelectric layer
JPH0927602A (en) Manufacture of capacitor and large capacitance capacitor
WO2011023512A1 (en) Cvd method and cvd reactor
DE10335099B4 (en) Method for improving the thickness uniformity of silicon nitride layers for a plurality of semiconductor wafers
EP1861520B1 (en) Gas inlet element for a cvd reactor
EP3610050B1 (en) Coating apparatus and method for reactive vapor phase deposition on a substrate under vacuum
DE10124609A1 (en) Device for depositing crystalline active layers on crystalline substrates comprises a process chamber arranged in a reaction housing, a gas inlet
EP1434897A1 (en) Method and device for depositing a plurality of layers on a substrate
DE19851824C2 (en) CVD reactor

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20040313

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LI LU MC NL PT SE SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: AIXTRON AG

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: AIXTRON SE

17Q First examination report despatched

Effective date: 20111208

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20120419