DE69625747T2 - Ätzverfahren für CoSi2-Schichten und Verfahren zur Herstellung von Schottky-Barrieren Detektoren unter Verwendung desselben - Google Patents

Ätzverfahren für CoSi2-Schichten und Verfahren zur Herstellung von Schottky-Barrieren Detektoren unter Verwendung desselben

Info

Publication number
DE69625747T2
DE69625747T2 DE69625747T DE69625747T DE69625747T2 DE 69625747 T2 DE69625747 T2 DE 69625747T2 DE 69625747 T DE69625747 T DE 69625747T DE 69625747 T DE69625747 T DE 69625747T DE 69625747 T2 DE69625747 T2 DE 69625747T2
Authority
DE
Germany
Prior art keywords
same
schottky barrier
cosi2
layers
barrier detectors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69625747T
Other languages
English (en)
Other versions
DE69625747D1 (de
Inventor
Ricardo Alves Donaton
Karen Irma Josef Maex
Rita Verbeeck
Philippe Jansen
Rita Rooyackers
Ludo Deferm
Mikhail Rodionovich Baklanov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Application granted granted Critical
Publication of DE69625747D1 publication Critical patent/DE69625747D1/de
Publication of DE69625747T2 publication Critical patent/DE69625747T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/108Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the Schottky type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Weting (AREA)
  • Light Receiving Elements (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)
DE69625747T 1995-06-19 1996-06-19 Ätzverfahren für CoSi2-Schichten und Verfahren zur Herstellung von Schottky-Barrieren Detektoren unter Verwendung desselben Expired - Lifetime DE69625747T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US242695P 1995-06-19 1995-06-19

Publications (2)

Publication Number Publication Date
DE69625747D1 DE69625747D1 (de) 2003-02-20
DE69625747T2 true DE69625747T2 (de) 2003-10-23

Family

ID=21700706

Family Applications (2)

Application Number Title Priority Date Filing Date
DE69636818T Expired - Lifetime DE69636818T2 (de) 1995-06-19 1996-06-19 Verfahren zur selbst-justierten Herstellung von implantierten Gebieten
DE69625747T Expired - Lifetime DE69625747T2 (de) 1995-06-19 1996-06-19 Ätzverfahren für CoSi2-Schichten und Verfahren zur Herstellung von Schottky-Barrieren Detektoren unter Verwendung desselben

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69636818T Expired - Lifetime DE69636818T2 (de) 1995-06-19 1996-06-19 Verfahren zur selbst-justierten Herstellung von implantierten Gebieten

Country Status (4)

Country Link
US (2) US6153484A (de)
EP (1) EP0750338B1 (de)
AT (2) ATE350764T1 (de)
DE (2) DE69636818T2 (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3183249B2 (ja) * 1998-03-30 2001-07-09 日本電気株式会社 高抵抗負荷スタチック型ramの製造方法
US20030235936A1 (en) * 1999-12-16 2003-12-25 Snyder John P. Schottky barrier CMOS device and method
US6692976B1 (en) * 2000-08-31 2004-02-17 Agilent Technologies, Inc. Post-etch cleaning treatment
US6368963B1 (en) * 2000-09-12 2002-04-09 Advanced Micro Devices, Inc. Passivation of semiconductor device surfaces using an iodine/ethanol solution
EP1468440A2 (de) * 2002-01-23 2004-10-20 Spinnaker Semiconductor, Inc. Feldeffekttransistor mit schottky- oder schottky-artiger source- und/oder drain-kontakt und mit verspanntem halbleitersubstrat
US6974737B2 (en) * 2002-05-16 2005-12-13 Spinnaker Semiconductor, Inc. Schottky barrier CMOS fabrication method
US7049667B2 (en) 2002-09-27 2006-05-23 Hrl Laboratories, Llc Conductive channel pseudo block process and circuit to inhibit reverse engineering
US6979606B2 (en) * 2002-11-22 2005-12-27 Hrl Laboratories, Llc Use of silicon block process step to camouflage a false transistor
GB2430800B (en) * 2002-11-22 2007-06-27 Hrl Lab Llc Use of silicon block process step to camouflage a false transistor
WO2004055868A2 (en) 2002-12-13 2004-07-01 Hrl Laboratories, Llc Integrated circuit modification using well implants
US6905923B1 (en) 2003-07-15 2005-06-14 Advanced Micro Devices, Inc. Offset spacer process for forming N-type transistors
US7179745B1 (en) * 2004-06-04 2007-02-20 Advanced Micro Devices, Inc. Method for offsetting a silicide process from a gate electrode of a semiconductor device
US7242063B1 (en) 2004-06-29 2007-07-10 Hrl Laboratories, Llc Symmetric non-intrusive and covert technique to render a transistor permanently non-operable
US7371333B2 (en) 2005-06-07 2008-05-13 Micron Technology, Inc. Methods of etching nickel silicide and cobalt silicide and methods of forming conductive lines
US8168487B2 (en) 2006-09-28 2012-05-01 Hrl Laboratories, Llc Programmable connection and isolation of active regions in an integrated circuit using ambiguous features to confuse a reverse engineer
US8193602B2 (en) 2010-04-20 2012-06-05 Texas Instruments Incorporated Schottky diode with control gate for optimization of the on state resistance, the reverse leakage, and the reverse breakdown
CN104752168B (zh) * 2015-04-23 2017-10-17 上海华力微电子有限公司 一种去除鳍式场效应晶体管中掺磷碳化硅薄膜缺陷的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4378628A (en) * 1981-08-27 1983-04-05 Bell Telephone Laboratories, Incorporated Cobalt silicide metallization for semiconductor integrated circuits
US4569722A (en) * 1984-11-23 1986-02-11 At&T Bell Laboratories Ethylene glycol etch for processes using metal silicides
GB2214349B (en) * 1988-01-19 1991-06-26 Standard Microsyst Smc Process for fabricating mos devices
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
US4990988A (en) * 1989-06-09 1991-02-05 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Laterally stacked Schottky diodes for infrared sensor applications
US5290715A (en) * 1991-12-31 1994-03-01 U.S. Philips Corporation Method of making dielectrically isolated metal base transistors and permeable base transistors
US5605865A (en) * 1995-04-03 1997-02-25 Motorola Inc. Method for forming self-aligned silicide in a semiconductor device using vapor phase reaction
US5728625A (en) * 1996-04-04 1998-03-17 Lucent Technologies Inc. Process for device fabrication in which a thin layer of cobalt silicide is formed
US5780362A (en) * 1996-06-04 1998-07-14 Wang; Qingfeng CoSi2 salicide method
US5814537A (en) * 1996-12-18 1998-09-29 Sharp Microelectronics Technology,Inc. Method of forming transistor electrodes from directionally deposited silicide
US5849091A (en) * 1997-06-02 1998-12-15 Micron Technology, Inc. Megasonic cleaning methods and apparatus
US5934980A (en) * 1997-06-09 1999-08-10 Micron Technology, Inc. Method of chemical mechanical polishing
US5834356A (en) * 1997-06-27 1998-11-10 Vlsi Technology, Inc. Method of making high resistive structures in salicided process semiconductor devices
US6074960A (en) * 1997-08-20 2000-06-13 Micron Technology, Inc. Method and composition for selectively etching against cobalt silicide
US5937319A (en) * 1997-10-31 1999-08-10 Advanced Micro Devices, Inc. Method of making a metal oxide semiconductor (MOS) transistor polysilicon gate with a size beyond photolithography limitation by using polysilicidation and selective etching

Also Published As

Publication number Publication date
DE69636818T2 (de) 2007-11-08
ATE231286T1 (de) 2003-02-15
DE69636818D1 (de) 2007-02-15
US6255227B1 (en) 2001-07-03
EP0750338A2 (de) 1996-12-27
EP0750338B1 (de) 2003-01-15
ATE350764T1 (de) 2007-01-15
DE69625747D1 (de) 2003-02-20
US6153484A (en) 2000-11-28
EP0750338A3 (de) 1997-04-16

Similar Documents

Publication Publication Date Title
DE69625747T2 (de) Ätzverfahren für CoSi2-Schichten und Verfahren zur Herstellung von Schottky-Barrieren Detektoren unter Verwendung desselben
DE69406936D1 (de) Verfahren zur herstellung von amino-1-hydroxy-alkyliden-1,1-bisphosphonsäuren
DE69307208D1 (de) Verfahren zur Herstellung von Überzugfilmen aus Titanoxyd
ATE223383T1 (de) Verfahren zur herstellung von 3-haloalkyl-1h- pyrazole
DE59608458D1 (de) Verfahren zur herstellung einer eeprom-halbleiterstruktur
DE59608710D1 (de) Dotierverfahren zur herstellung von homoübergängen in halbleitersubstraten
DE69615884T2 (de) Verfahren und Vorrichtung zur Herstellung von Chalcopyrit-Halbleiter-Dünnschichten
DE3852402D1 (de) Galliumnitridartige Halbleiterverbindung und daraus bestehende lichtemittierende Vorrichtung sowie Verfahren zu deren Herstellung.
ATE72255T1 (de) Verzweigte polycarbonate, die methylenbisnaphtholverbindungen enthalten und verfahren zu deren herstellung.
AU8560791A (en) Tube clarifier method for monitoring and/or controlling clarification processes
ATE73964T1 (de) Duennschicht-feldeffekttransistor mit kurzem kanal und verfahren zu seiner herstellung.
ATE194167T1 (de) Ein prozess für die herstellung von faktor ix biologischen ursprungs
DE69626437T2 (de) Lichtempfindliches Element, Verfahren zur dessen Herstellung, sowie seine Verwendung in einer elektrophotographischen Vorrichtung bzw. Methode
ATE393466T1 (de) Verfahren und vorrichtung zur herstellung von korpuskularstrahlsystemen
FI102083B (fi) Menetelmä L-karnitiinin valmistamiseksi epäjatkuvasti mikrobiologista tietä
DE59008362D1 (de) Verfahren zur herstellung von progesteron-derivaten.
ATE146785T1 (de) Verfahren zur herstellung von diltiazem
DE68914421T2 (de) Verfahren und Vorrichtung unter Benutzung einer ECR-Quelle für die Herstellung von hochgeladenen, schweren Ionen.
ATE257414T1 (de) Vorrichtung und verfahren zur herstellung von spindeln
ATE142795T1 (de) Verfahren zur herstellung eines beugungsgitters
DE50208616D1 (de) Automatische parametererfassung
DE69802171D1 (de) Verfahren zur herstellung von 10,11-dihydro-5h-dibenzo(a,d)cyclohept-5-enen und ihren derivaten
DE69606679T2 (de) Verfahren zur Herstellung von Aryl- und Vinylnitrilen
ATE98494T1 (de) Antigenloesung ethaltend ein polyalphaolefin (pao), verfahren ihrer herstellung und die verwendung von pao als adjuvans.
Buttaci Five Steps to Improving Productivity for Anodising Plants

Legal Events

Date Code Title Description
8364 No opposition during term of opposition