DE602004018517D1 - Abscheideverfahren mit einem thermischen plasma das mit einer ersetzbaren platte expandiert wird - Google Patents

Abscheideverfahren mit einem thermischen plasma das mit einer ersetzbaren platte expandiert wird

Info

Publication number
DE602004018517D1
DE602004018517D1 DE602004018517T DE602004018517T DE602004018517D1 DE 602004018517 D1 DE602004018517 D1 DE 602004018517D1 DE 602004018517 T DE602004018517 T DE 602004018517T DE 602004018517 T DE602004018517 T DE 602004018517T DE 602004018517 D1 DE602004018517 D1 DE 602004018517D1
Authority
DE
Germany
Prior art keywords
plasma
generator
thermal plasma
gas
separation process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE602004018517T
Other languages
English (en)
Inventor
Mark Schaepkens
Charles Dominic Iacovangelo
Thomas Miebach
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SABIC Global Technologies BV
Original Assignee
SABIC Innovative Plastics IP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SABIC Innovative Plastics IP BV filed Critical SABIC Innovative Plastics IP BV
Publication of DE602004018517D1 publication Critical patent/DE602004018517D1/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Manufacture And Refinement Of Metals (AREA)
  • Plasma Technology (AREA)
DE602004018517T 2003-09-05 2004-09-03 Abscheideverfahren mit einem thermischen plasma das mit einer ersetzbaren platte expandiert wird Expired - Fee Related DE602004018517D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/655,350 US7282244B2 (en) 2003-09-05 2003-09-05 Replaceable plate expanded thermal plasma apparatus and method
PCT/US2004/028774 WO2005026409A2 (en) 2003-09-05 2004-09-03 Replaceable plate expanded thermal plasma apparatus and method

Publications (1)

Publication Number Publication Date
DE602004018517D1 true DE602004018517D1 (de) 2009-01-29

Family

ID=34226110

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004018517T Expired - Fee Related DE602004018517D1 (de) 2003-09-05 2004-09-03 Abscheideverfahren mit einem thermischen plasma das mit einer ersetzbaren platte expandiert wird

Country Status (9)

Country Link
US (1) US7282244B2 (de)
EP (1) EP1664378B1 (de)
JP (1) JP2007504630A (de)
KR (1) KR20060121888A (de)
CN (1) CN1882712A (de)
AT (1) ATE417946T1 (de)
AU (1) AU2004273036A1 (de)
DE (1) DE602004018517D1 (de)
WO (1) WO2005026409A2 (de)

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7264850B1 (en) * 1992-12-28 2007-09-04 Semiconductor Energy Laboratory Co., Ltd. Process for treating a substrate with a plasma
US20090191342A1 (en) * 1999-10-25 2009-07-30 Vitex Systems, Inc. Method for edge sealing barrier films
US20100330748A1 (en) * 1999-10-25 2010-12-30 Xi Chu Method of encapsulating an environmentally sensitive device
US6866901B2 (en) * 1999-10-25 2005-03-15 Vitex Systems, Inc. Method for edge sealing barrier films
US7198832B2 (en) * 1999-10-25 2007-04-03 Vitex Systems, Inc. Method for edge sealing barrier films
US20070196682A1 (en) * 1999-10-25 2007-08-23 Visser Robert J Three dimensional multilayer barrier and method of making
US20090208754A1 (en) * 2001-09-28 2009-08-20 Vitex Systems, Inc. Method for edge sealing barrier films
US8900366B2 (en) * 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US8808457B2 (en) * 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US7648925B2 (en) * 2003-04-11 2010-01-19 Vitex Systems, Inc. Multilayer barrier stacks and methods of making multilayer barrier stacks
US7510913B2 (en) * 2003-04-11 2009-03-31 Vitex Systems, Inc. Method of making an encapsulated plasma sensitive device
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7767498B2 (en) 2005-08-25 2010-08-03 Vitex Systems, Inc. Encapsulated devices and method of making
US7632706B2 (en) * 2005-10-21 2009-12-15 Spansion Llc System and method for processing an organic memory cell
CN100389225C (zh) * 2005-10-21 2008-05-21 友达光电股份有限公司 等离子体反应腔
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
EP2299922B1 (de) 2008-05-30 2016-11-09 Colorado State University Research Foundation Gerät zur erzeugung von plasma
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
WO2009146432A1 (en) 2008-05-30 2009-12-03 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US9337446B2 (en) * 2008-12-22 2016-05-10 Samsung Display Co., Ltd. Encapsulated RGB OLEDs having enhanced optical output
US9184410B2 (en) 2008-12-22 2015-11-10 Samsung Display Co., Ltd. Encapsulated white OLEDs having enhanced optical output
US20100167002A1 (en) * 2008-12-30 2010-07-01 Vitex Systems, Inc. Method for encapsulating environmentally sensitive devices
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8590338B2 (en) 2009-12-31 2013-11-26 Samsung Mobile Display Co., Ltd. Evaporator with internal restriction
EP2554028B1 (de) 2010-03-31 2016-11-23 Colorado State University Research Foundation Plasmavorrichtung mit flüssig-gas-schnittstelle
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
EP2697295B1 (de) 2011-04-14 2018-12-19 Exatec, LLC. Organisches harzlaminat
US8361607B2 (en) 2011-04-14 2013-01-29 Exatec Llc Organic resin laminate
WO2013032421A1 (en) 2011-08-26 2013-03-07 Exatec Llc Organic resin laminate, methods of making and using the same, and articles comprising the same
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103117201B (zh) * 2011-11-17 2016-06-29 中芯国际集成电路制造(上海)有限公司 Pecvd装置及半导体器件的形成方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
AT517694B1 (de) * 2015-11-12 2017-04-15 Inocon Tech Ges M B H Vorrichtung und Verfahren zum Aufbringen einer Beschichtung
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN106686873B (zh) * 2017-03-04 2024-02-23 绍兴上虞阿特兰迪电器有限公司 一种可拆卸的非热等离子反应器
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113382525A (zh) * 2021-06-23 2021-09-10 大连理工大学 一种多通道大面积高密度直流电弧等离子体源

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8701530A (nl) * 1987-06-30 1989-01-16 Stichting Fund Ond Material Werkwijze voor het behandelen van oppervlakken van substraten met behulp van een plasma en reactor voor het uitvoeren van die werkwijze.
IL111063A0 (en) * 1994-09-26 1994-12-29 Plas Plasma Ltd A method for depositing a coating onto a substrate by means of thermal spraying and an apparatus for carrying out said method
US6110544A (en) * 1997-06-26 2000-08-29 General Electric Company Protective coating by high rate arc plasma deposition
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6213049B1 (en) * 1997-06-26 2001-04-10 General Electric Company Nozzle-injector for arc plasma deposition apparatus
US6426125B1 (en) * 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6365016B1 (en) * 1999-03-17 2002-04-02 General Electric Company Method and apparatus for arc plasma deposition with evaporation of reagents
KR100436297B1 (ko) * 2000-03-14 2004-06-18 주성엔지니어링(주) 반도체 소자 제조용 플라즈마 스프레이 장치 및 이를이용한 반도체 소자 제조방법
FR2815888B1 (fr) * 2000-10-27 2003-05-30 Air Liquide Dispositif de traitement de gaz par plasma
US6397776B1 (en) * 2001-06-11 2002-06-04 General Electric Company Apparatus for large area chemical vapor deposition using multiple expanding thermal plasma generators
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
WO2003017737A2 (en) * 2001-08-16 2003-02-27 Dow Global Technologies Inc. Cascade arc plasma and abrasion resistant coatings made therefrom
DE60214313T2 (de) * 2001-10-22 2007-03-29 Takata Corp. Externe Airbagvorrichtung
NL1021185C2 (nl) * 2002-07-30 2004-02-03 Fom Inst Voor Plasmafysica Inrichting voor het behandelen van een oppervlak van een substraat en een plasmabron.
US20040040833A1 (en) * 2002-08-27 2004-03-04 General Electric Company Apparatus and method for plasma treating an article

Also Published As

Publication number Publication date
ATE417946T1 (de) 2009-01-15
JP2007504630A (ja) 2007-03-01
EP1664378B1 (de) 2008-12-17
CN1882712A (zh) 2006-12-20
WO2005026409A3 (en) 2005-05-12
US7282244B2 (en) 2007-10-16
EP1664378A2 (de) 2006-06-07
AU2004273036A1 (en) 2005-03-24
US20050051094A1 (en) 2005-03-10
WO2005026409A2 (en) 2005-03-24
KR20060121888A (ko) 2006-11-29

Similar Documents

Publication Publication Date Title
DE602004018517D1 (de) Abscheideverfahren mit einem thermischen plasma das mit einer ersetzbaren platte expandiert wird
AU2003207794A1 (en) Corona-generated chemical vapor deposition on a substrate
ATE518409T1 (de) Vorrichtung und prozess zum erzeugen, beschleunigen und ausbreiten von strahlen von elektronen und plasma
SE0302045D0 (sv) Work piece processing by pulsed electric discharges in solid-gas plasmas
DE69823532D1 (de) Plasma unterstütze chemische dampfabscheidung aus rohstoffen mit niedrigem dampfdruck
WO2006012179A3 (en) Expanded thermal plasma apparatus
WO2007124032A3 (en) Dual plasma beam sources and method
EP1746178B1 (de) Vorrichtung zur Verbesserung der Plasma-Wirksamkeit in PVD-Reaktoren
JP3547398B2 (ja) 二重イオン源をもつ処理システム
ATE511206T1 (de) Ladungsträgerteilchenstrahlsystem
EA200401344A1 (ru) Система для формирования плазмы при атмосферном давлении
DE60334561D1 (de) Kathodenzerstäubung mit hoher abscheidungsrate
WO2004030020A3 (en) Upper electrode plate with deposition shield in a plasma processing system
SG144714A1 (en) Removal of surface oxides by electron attachment for wafer bumping applications
SE8602715D0 (sv) Forfarande for beleggning av substrat i en vakuumkammare
BR0207833A (pt) Maçarico a plasma de partida por contato
ATE533175T1 (de) Korona-entladungslampen
KR920001645A (ko) 반응관 챔버의 자기 세척(self-clening)방법
US8307783B2 (en) Plasma booster for plasma treatment installation
US20120119649A1 (en) Plasma generating apparatus
MXPA06002679A (es) Deposicion de vapor quimico generado por descarga de brillo.
ATE479196T1 (de) Hochfrequenz-elektronenquelle, insbesondere neutralisator
TW335504B (en) A method for providing full-face high density plasma deposition
JPS5457477A (en) Throw away tip of coated tool steel
WO2005043599A3 (en) Electron beam treatment apparatus

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee