DE60007196T2 - Vorrichtung zur Beseitigung von "Durchgleiten" von Daten während einer Schiebeoperation mit Master-Slave Kippschaltungen - Google Patents

Vorrichtung zur Beseitigung von "Durchgleiten" von Daten während einer Schiebeoperation mit Master-Slave Kippschaltungen Download PDF

Info

Publication number
DE60007196T2
DE60007196T2 DE60007196T DE60007196T DE60007196T2 DE 60007196 T2 DE60007196 T2 DE 60007196T2 DE 60007196 T DE60007196 T DE 60007196T DE 60007196 T DE60007196 T DE 60007196T DE 60007196 T2 DE60007196 T2 DE 60007196T2
Authority
DE
Germany
Prior art keywords
clock signal
master
delay
slave
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60007196T
Other languages
English (en)
Other versions
DE60007196D1 (de
Inventor
Rory L. Fisher
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies International Sales Pte Ltd
Original Assignee
Agilent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agilent Technologies Inc filed Critical Agilent Technologies Inc
Application granted granted Critical
Publication of DE60007196D1 publication Critical patent/DE60007196D1/de
Publication of DE60007196T2 publication Critical patent/DE60007196T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich allgemein auf eine digitale Testschaltungsanordnung und insbesondere auf ein Verfahren und eine Vorrichtung zum Eliminieren von Durchlaßereignissen während Master-Slave-Flip-Flop-Abtastoperationen, während eine minimale Testzeit gegeben ist.
  • Hintergrund der Erfindung
  • Das Testen elektrischer Schaltungen ist ein wesentlicher Teil des Prozesses, der mit dem Entwurf und der Herstellung elektrischer Schaltungen verbunden ist. Eine Testtechnik, die beim Testen elektrischer Schaltungen häufig verwendet wird, ist die Verwendung eines Testzugriffstors (TAP = test access port). Die Verwendung eines TAP ermöglicht es, daß Signale seriell in und aus einer integrierten Schaltung (IC) abgetastet werden, um die Schaltung auf funktionale Defekte zu prüfen. Die TAP-Archtiktur ist in der Technik gut bekannt und wurde in einem IEEE-Standard 1149.1-1990 definiert (IEEE = Institute of Electrical and Electronic Engineers).
  • 1 ist ein Blockdiagramm einer typischen herkömmlichen integrierten Schaltung (IC) 100, die eine TAP-Schaltungsanordnung 120 enthält. Speziell zugewiesene TAP-Stifte TDI 112, TCK 114, TDO 116 und TMS 118 sind vorgesehen, um eine Kommunikation von einem externen Tester zu einem Satz von internen Abtastregistern 160a, 160b, ..., 160n und 108 zu ermöglichen. Der TCK-Stift (TCK = Test Clock = Testtakt) 114 und der TMS-Stift 118 (TMS = Test Mode Select = Testmodusauswahl) sind beide mit einer TAP-Steuerung 130 gekoppelt und werden verwendet, um ein Kommunikationsprotokoll zu implementieren, vorzugsweise das „JTAG"-Protokoll (JTAG = Joint Test Action Group), das in dem IEEE/ANSI-Standard 1149.1-1990 näher beschrieben ist.
  • Die IC 100 umfaßt eine interne Logik 106, die gekoppelt ist, um Eingangsdaten über die Eingangsstifte 102 zu empfangen und Ausgangsdaten über die Ausgangsstifte 104 auszugeben. Interne Register und/oder Testknoten von Interesse sind mit internen Abtastketten 160a, 160b, ..., 160n gekoppelt. Jede Abtastkette 160a, 160b, ..., 160n umfaßt eine oder mehrere Abtastkettenzellen 110. Jede Abtastkettenzelle 110 wird typischerweise unter Verwendung eines Master-Slave-Flip-Flops implementiert. Bei dem darstellenden Ausführungsbeispiel umfaßt die IC 100 auch eine Grenzabtastkette 108. Grenzabtasttesten ist eine gut bekannte Testtechnik, bei der jede IC-Komponente, die Teil einer größeren zu testenden Schaltung ist, mit einem Satz von Schieberegistern aufgebaut ist, die zwischen jeden Vorrichtungsstift und das spezifische interne Logiksystem der Komponente plaziert sind, und die es ermöglicht, daß eine gesamte Schaltung genau getestet wird, indem nur die Grenzstifte der Komponenten der zu testenden Schaltung abgetastet werden. Bei dem darstellenden Ausführungsbeispiel ist jeder Eingangsstift 102 und Ausgangsstift 104 von Interesse mit einer getrennten Grenzabtastkettenzelle 110 gekoppelt, die seriell in einer Schleifenkonfiguration gekoppelt sind, um ein Grenzabtastregister 108 zu bilden. Zu jedem Zeitpunkt sind der TDI-Stift (TDI = Test Data In = Testdaten-Ein) 112 und der TDO-Stift (TDO = Test Data Out = Testdaten-Aus) 116 jeweils schaltbar mit zumindest entweder dem Befehlsregister 140, einem der internen Abtastregister 160a, 160b, ..., 160n oder dem Grenzabtastregister 108 gekoppelt.
  • Das TAP-Befehlsregister 140 wird verwendet, um die Funktionsweise des TAP 120 einzustellen. Beim Betrieb werden Befehle unter der Steuerung des TMS-Stifts 118 und des TCK-Stifts 114 über den TDI-Stift 112 in das Befehlsregister 140 geladen. Der Befehl, der in dem Befehlsregister 140 vorliegt, bestimmt, ob das Befehlsregister 140, eines der internen Abtastregister 160a, 160b, ..., 160n oder das Grenzabtastregister 108 zwischen dem TDI-Stift 112 und dem TDO-Stift 116 gekoppelt ist. Daten werden über den TDI-Stift 112 seriell in das aktuell ausgewählte Register 140, 160a, 160b, ..., 160n oder 108 verschoben, in Synchronisation mit einem Taktsignal, das auf dem TCK-Stift 114 empfangen wird.
  • Abtastkettenzellen 110 sind Latches, die typischerweise mit einem Master-Slave-Flip-Flop implementiert sind, das in 2(a) bei 200 dargestellt ist. Wenn serielle Daten in Master-Slave-Flip-Flops 200 abgetastet werden, findet eine Datenbewegung an jeder Flanke des Testtakts TCK statt (in der beiliegenden 2(b) gezeigt). Diese Bewegung findet auf die Aktivierung von zwei Signalen hin statt, die durch das TAP erzeugt werden, von dem Testtaktsignal TCK – Mastertakt TCKM und Slavetakt TCKS. Nach IEEE-1149.1-Spezifikationen ist erforderlich, daß Daten auf der abfallenden Flanke des Testtakts TCK verschoben werden. Wenn der Mastertakt TCKM aktiviert ist, werden serielle Daten, jeweils ein Bit auf einmal, zu einem Zeitpunkt von dem Eingang 211 des Flip-Flops 200 in das Masterlatch 210 jedes Flip-Flops 200 geladen. Wenn der Slavetakt TCKS aktiviert ist, werden Daten, die in jedem Masterlatch 210 gespeichert sind, in das jeweilige Slave-Latch 220 kopiert, und von dem Ausgang S_OUT 225 des Slave-Latch 220 zu dem Eingang M_IN 211 des Masterlatch 210 der nächsten Grenzabtastkettenzelle 210 in der Abtastkette 108 getrieben.
  • Es ist Vorsicht geboten, wenn diese Testschaltungsanordnung entworfen wird. Falls der Mastertakt TCKM und der Slavetakt TCKS in irgendeinem Moment beide über dem Auslösepunkt sind, der in 2(b) bei „T" für die Signale TCKM und TCKS von den Latch-Freigabegattern 212, 222 gezeigt ist, werden Daten mehrere Abtastkettenzellenlatches 110 in der Abtastkette 160a, 160b, ..., 160n, 108 „durchgelassen" („shoot through"), wodurch die vorher gespeicherten Abtast daten verfälscht werden. Aus diesem Grund implementieren die meisten Testschaltungsanordnungen Verzögerungserzeugungslogik zwischen den Master- und Slavetaktsignalleitungen, um sicherzustellen, daß der Mastertakt TCKM und der Slavetakt TCKS nicht überlappen. Der Zeitpunkt, wenn weder Mastertakt TCKM noch Slavetakt TCKS aktiviert ist, wird im allgemeinen als „Totzeit" bezeichnet. Dies ist in 2(b) dargestellt. Falls eine übermäßige Totzeitdauer zwischen den Master- und Slavetaktsignalen TCKM und TCKS eingeführt ist, muß die Testtakt-TCK-Frequenz verringert werden, wodurch die Testzeitdauer pro zu testender Schaltung erhöht wird. Dies kann bei Serienherstellungstestleitungen sehr aufwendig sein.
  • Durchlaßprobleme treten häufiger auf, wenn die Last auf den Master- und Slavetaktsignalen TCKM und TCKS hoch ist, wie es häufig der Fall ist, wenn lange Abtastketten getrieben werden.
  • Herkömmliche Lösungen für Durchlaßprobleme, die durch übermäßiges Belasten der Master- und Slavetaktleitungen eingeführt werden, umfassen das Einführen einer festen Verzögerung zwischen dem Mastertakt TCKM und dem Slavetakt TCKS. Diese Lösung ist jedoch problematisch, falls die feste Verzögerung eingestellt ist, um eine zu lange Totzeitdauer zwischen dem Master- und dem Slavetakt einzuführen, da die Frequenz des Testtakts TCK gezwungen wird, langsamer zu sein. Die langsamere Testtaktfrequenz erhöht die Testzeitdauer und somit die Kosten des Tests. Falls der Verzögerungswert zu niedrig eingestellt ist, treten Durchlaßbedingungen in den Abtastketten auf, wo Ladefehlanpassungen auftreten.
  • Eine weitere herkömmliche Lösung umfaßt die Verwendung von Testmodulsatelliten. Dieses Verfahren erfordert die Installation von Testmodulen, die die Testsignale von dem TAP puffern und die nichtüberlappenden Takte lokal in jedem Block erzeugen. Dieses Verfahren reduziert das Risiko von Durchlassen erheblich, aber erfordert, daß Testmodule erzeugt, korrekt dimensioniert, verifiziert und in jedem Block der Schaltung plaziert werden, wodurch sowohl Entwurfszeit als auch Gesamtfläche des Chips erhöht werden.
  • Eine weitere Lösung für das Problem ist die Verwendung von Langstreckenrückkopplung. Bei diesem Verfahren wird ein Ausgangssignal von dem TAP um die Peripherie der integrierten Schaltung geleitet und als ein Eingangssignal in das TAP zurückgeleitet. Diese Verzögerung, die durch die lange Spur eingeführt wird, stellt eine feste Verzögerung dar, die als die Totzeit zwischen den Master- und Slavetaktsignalen TCKM und TCKS des TAP wirkt. Der Nachteil der Implementierung ist zweifach. Zunächst, falls die Verzögerung zu lang ist, wird die Testzeit unnötig erhöht, falls die Verzögerung zu kurz ist, wird Durchlassen eingeführt. Zweitens erbt die lange Spur aufgrund ihrer schleifenartigen Art große induktive Eigenschaften. Die Spur muß daher eine zusätzliche Breite tragen, was mehr Fläche auf dem Chip verbraucht. Da die Spur auch in dem äußersten Teil des Kerns existiert, muß besonders darauf geachtet werden, daß der Leiter der obersten Ebene nicht auf der Spur leitet. Falls die lange Leitung nach der obersten Leitung von Hand plaziert wird, ist es schwierig, durch stark überfüllte Bereiche des Chips zu gelangen.
  • Jede der herkömmlichen Lösungen für Durchlaßprobleme bei Master-Slave-Latches führt zu anderen Problemen, wie es oben beschrieben wurde. Folglich besteht ein Bedarf nach einem Verfahren und einer Vorrichtung, die es einem Testentwickler ermöglicht, die Totzeitdauer zu kalibrieren und somit Durchlassen zu eliminieren, während die schnellstmögliche Testzeit über prozeßveränderliches Silizium ermöglicht wird.
  • Die US 5 771 240 beschreibt in dem Zusammenhang von Fehlerbehebungsausfällen einer integrierten Schaltung die Erzeugung eines Auslöseerfassungssignals mit einer programmier baren Verzögerung. Dieses Auslösesignal wird für ein gesteuertes Latch von Testsignalen auf Testknoten verwendet, aber das verzögerte Auslösesignal wird nicht in dem Zusammenhang eines Slave-Master-Latch zum Erzeugen eines Master- und Slavetaktsignals verwendet, um Durchlassen zu eliminieren.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung ist ein neuartiges Verfahren und eine Vorrichtung zum Eliminieren von Durchlaßereignissen während Master-Slave-Flip-Flop-Abtastoperationen, während eine minimale Testzeit gegeben ist. Durchlaßabtastprobleme, die durch Ladefehlanpassungen auf den TAP-Master- und Slavetaktsignalleitungen eingeführt wurden, werden durch Abtasten eines geeigneten Werts in ein programmierbares Register gelöst, was die Verzögerung von dem Mastertaktsignal TCKM-Aus zu dem Slavetaktsignal TCKS-Ein erhöht, und von dem Slavetaktsignal TCKS-Aus zu dem Mastertaktsignal TCKM-Ein. Durchlaßabtastprobleme, die durch Offenschleifenleitungen eingeführt werden, können ebenfalls durch Erhöhen der Verzögerung zwischen Master- und Slaveleitungen eliminiert werden.
  • Gemäß der Erfindung ist eine Master-Slave-Takterzeugungsschaltung vorgesehen, wie sie in Anspruch 1 definiert ist.
  • Gemäß einem Ausführungsbeispiel umfaßt die Master-Slave-Takterzeugungsschaltung der Erfindung eine programmierbare Verzögerungsschaltung, die eine verzögerte Version eines Testtaktsignals erzeugt, wobei die Verzögerung proportional zu einem programmierten Verzögerungswert ist. Das verzögerte Testtaktsignal wird durch eine Taktsignalerzeugungsgeneratorschaltung verwendet, die ein Mastertaktsignal und ein Slavetaktsignal auf der Basis des Testtaktsignals und der verzögerten Version des Testtaktsignals erzeugt. Die programmierbare Verzögerungsschaltung umfaßt eine Verzöge rungsauswahlschaltung und eine Verzögerungsgeneratorschaltung. Die Verzögerungsauswahlschaltung spricht auf den programmierten Verzögerungswert zum Erzeugen eines einstellbaren Verzögerungssteuersignals an. Die Verzögerungsgeneratorschaltung erzeugt das verzögerte Taktsignal ansprechend zu und in Proportion zu dem einstellbaren Verzögerungssteuersignal. Der programmierte Verzögerungswert ist vorzugsweise in einem Verzögerungsregister gespeichert, das über eine TAP-Steuerschaltungsanordnung programmierbar ist. Bei dem darstellenden Ausführungsbeispiel ist die Verzögerungsauswahlschaltung unter Verwendung eines 3:8-Decodierers implementiert, dessen Eingang mit einem programmierbaren 3-Bit-Verzögerungsregister gekoppelt ist, und die Verzögerungsgeneratorschaltung umfaßt einen Satz von acht Verzögerungselementen, die in Reihe gekoppelt sind, von denen jedes eine aufeinanderfolgend stärker verzögerte Version des Testtaktsignals erzeugt. Die Verzögerungsauswahlschaltung bestimmt, welche der nachfolgend verzögerten Versionen des Testtaktsignals als das verzögerte Taktsignal ausgegeben wird.
  • Ein erstes NOR-Gatter ist gekoppelt, um das Testtaktsignal und das verzögerte Taktsignal zu empfangen, um ein Mastertaktsignal nur zu aktivieren, wenn das Testtaktsignal und das verzögerte Taktsignal beide aktiviert sind. Ein zweites NOR-Gatter ist gekoppelt, um eine invertierte Version des Testtaktsignals und des verzögerten Taktsignals zu empfangen, und um ein Slavetaktsignal nur zu aktivieren, wenn das Testtaktsignal und das verzögerte Taktsignal beide deaktiviert sind.
  • Kurze Beschreibung der Zeichnungen
  • Die Erfindung wird durch Lesen der folgenden detaillierten Beschreibung in Verbindung mit den Zeichnungen, bei denen gleiche Bezugszeichen verwendet werden, um gleiche Elemente zu bezeichnen, besser verständlich.
  • 1 ist ein Blockdiagramm einer typischen herkömmlichen integrierten Schaltung (IC), die eine TAP-Schaltungsanordnung enthält;
  • 2(a) ist ein schematisches Diagramm eines Master-Slave-Flip-Flops;
  • 2(b) ist ein Zeitgebungsdiagramm, das das „Durchlassen"-Problem darstellt, das bei Master-Slave-Flip-Flops auftreten kann;
  • 3(a) ist ein schematisches Diagramm einer programmierbaren Verzögerungsvorrichtung gemäß der Erfindung;
  • 3(b) ist ein Zeitgebungsdiagramm, das die Zeitgebung der Master-Slave-Flip-Flop-Signale als Ergebnis der Verwendung der programmierbaren Verzögerungsvorrichtung darstellt; und
  • 4 ist ein Blockdiagramm einer Grenzabtastkomponente, die die Master-Slave-Takterzeugungsschaltung der Erfindung implementiert.
  • Detaillierte Beschreibung
  • Ein neuartiges Verfahren und eine Vorrichtung zum Eliminieren von Durchlaßereignissen während Master-Slave-Flip-Flop-Abtastoperationen, während minimale Testzeiten gegeben sind, wird hierin nachfolgend näher beschrieben. Obwohl die Erfindung im Zusammenhang von TAP-Protokollsignalen beschrieben wird, ist es für einen Fachmann auf dem Gebiet klar, daß die programmierbare Verzögerungsschaltung in jeder elektronischen Schaltung verwendet werden kann, die eine Totzeit zwischen abwechselnden Taktsignalen erfordert.
  • 3(a) ist eine schematische Darstellung einer Master-Slave-Takterzeugungsschaltung, die Durchlaßereignisse eliminiert, für die Verwendung beim Erzeugen von Mastertakt-TCKM und Slavetakt-TCKS von dem Testtakt TCK. Die Master-Slave-Takterzeugungsschaltung 300 umfaßt eine programmierbare Verzögerungsschaltung 340 und eine Taktsignalerzeugungsgeneratorschaltung 330. Die programmierbare Verzögerungsschaltung 340 umfaßt ein Verzögerungsregister 302, eine Verzögerungsauswahlschaltung 310 und eine Verzögerungsgeneratorschaltung 320. Bei dem darstellenden Ausführungsbeispiel ist das Verzögerungsregister 302 über eine TAP-Steuerschaltung programmierbar. Ein Befehl zum Programmieren des Verzögerungsregisters 302 wird über die geeignete Steuerung der TMS-, TCK- und TDI-Signale einer Grenzabtastkomponente in das TAP-Befehlsregister 140 geladen. Dieser Befehl bewirkt, daß der TDI-Eingang 112 mit dem Verzögerungsregister 302 gekoppelt ist, so daß die gewünschte Verzögerung dann über eine geeignete Steuerung der TMS-, TCK- und TDI-Signale von der TDI-Leitung 112 in das Verzögerungsregister 302 verschoben wird.
  • Bei dem darstellenden Ausführungsbeispiel umfaßt die Verzögerungsauswahlschaltung 310 einen 3:8-Decodierer 312, und die Verzögerungsgeneratorschaltung 320 umfaßt acht Verzögerungselemente 322a, 322b, 322c, 322d, 322e, 322f, 322g und 322h und eine nachgeschaltete Kombinationslogik. Die Inhalte des Verzögerungsregisters 302 werden als Eingang zu dem 3:8-Decodierer 312 verwendet. Der 3-Bit-Wert bestimmt die Totzeitdauer zwischen den Master- und Slavetakten TCKM und TCKS, wobei „0" (d. h. „000bin") die minimale Totzeitdauer darstellt und „7" (d. h. „111bin") die maximale Totzeitdauer darstellt.
  • Das Testtaktsignal TCK 350 wird in eine seriell gekoppelte Kette von Verzögerungselementen 322a322h eingegeben. Ein Satz von acht UND-Gattern 324a324h ist vorgesehen, wobei jeweils eines einem jeweiligen Verzögerungselement 322a322h und einem jeweiligen Ausgang D0–D7 des Decodierers 312 entspricht, und jedes UND-Gatter 324a324h gekoppelt ist, um das verzögerte Testtaktsignal, das von dem entsprechenden Verzögerungselement 322a322h ausgegeben wurde, und das ausgegebene Auswahlsignal von der entsprechenden Decodiererausgangsleitung D0–D7 zu empfangen. Der 3-Bit-Wert, der in das Verzögerungsregister 302 abgetastet wird, wird durch den 3:8-Decodierer 312 decodiert, so daß nur ein Ausgang D0, D1, ..., D7 aktiviert ist. Folglich aktiviert nur ein UND-Gatter 324a324h eine proportionale Verzögerungszeit, nachdem der Testtakt TCK aktiviert ist. Ein Acht-Eingang-NOR-Gatter (in dem darstellenden Ausführungsbeispiel ist ein Satz von vier NOR-Gattern 326a326d implementiert, aus Geschwindigkeitsgründen) verknüpft die Ausgänge der NAND-Gatter 324a324h NOR-logisch, um ein einziges Ausgangssignal TCKD zu erzeugen, das eine verzögerte Version des Testtaktsignals TCK ist. Falls beispielsweise „n" den Wert darstellt, der in dem Verzögerungsregister 302 gespeichert ist, gibt es n+1 Verzögerungsmodule 322a bis 322n+1, die bei der Erzeugung des Signals TCKD beteiligt sind, das durch den 3:8-Decodierer 312 ausgewählt wird. Das Signal TCKD 329 ist daher eine verzögerte Version (um n+1 Verzögerungseinheiten) des Testtakts TCK 350.
  • Die Taktsignalgeneratorschaltung 330 umfaßt Inverter 332, 334, 338 und NOR-Gatter 336 und 340, die wie in 3(a) gezeigt verbunden sind. Eine gepufferte und invertierte Version (über die Inverter 332, 334 und 338) von TCKD 329 wird über die NOR-Gatter 336, 340 mit dem Testtaktsignal TCK gattergesteuert, um den Mastertakt TCKM bzw. den Slavetakt TCKS zu erzeugen.
  • 3(b) ist ein Zeitgebungsdiagramm, das die Zeitgebung der Master- und Slavetaktsignale TCKM und TCKS darstellt, wenn das TAP gemäß der vorliegenden Erfindung implementiert ist. Wie es in 3(b) ersichtlich ist, deaktiviert der Mastertakt TCKM auf der ansteigenden Flanke von TCK. Dies ermöglicht es der TAP 130, der IEEE-1149.1-Anforderung zu entsprechen, daß serielle Daten auf der ansteigenden Flanke des Testtakts TCK gelatcht werden. Das Zeitgebungsdiagramm stellt die Beziehung zwischen dem Testtakt TCK und den Master- und Slavetakten TCKM und TCKS dar. Es ist auch ersichtlich, daß der verzögerte Testtakt TCKD verwendet wird, um die Totzeit zwischen dem Master- und Slavetakt zu bestimmen. Bei diesem Beispiel ist die Verzögerungszeit eingestellt, um zu garantieren, daß es keine Durchlassungen gibt, und trotzdem eine maximale Testtakt-TCK-Frequenz zu ermöglichen, um die schnellstmögliche Testzeit sicherzustellen.
  • 4 ist ein Blockdiagramm einer Grenzabtastkomponente 400, die die Master-Slave-Takterzeugungsschaltung 300 der Erfindung implementiert. Wenn dieselben für jede Grenzabtastkomponente in einer größeren Schaltung implementiert sind, wird eine gepufferte Version der Master- und Slavetakte TCKM und TCKS für jede Abtastkette 108 für jede Grenzabtastkomponente U1, U2, U3 und U4 erzeugt. Die einzelnen Chipentwickler müssen nur sicherstellen, daß die Eingangslast für Master und Slave äquivalent ist, was ohne weiteres erreicht werden kann durch Plazieren äquivalenter Puffer an dem Eingang zu der Grenzabtastkette 108 in jeder Komponente.
  • Aus der vorhergehenden detaillierten Beschreibung ist offensichtlich, daß die vorliegende Erfindung im Vergleich zum Stand der Technik wesentliche Vorteile liefert. Zunächst kann die Totzeit, die für eine spezielle Schaltung erforderlich ist, durch Programmieren der Verzögerungszeit feinabgestimmt werden, so daß Durchlassungen eliminiert werden und über einen Bereich von prozeßveränderlichem Silizium die schnellste Zeit erreicht wird. Zweitens ist jede notwendige Logik in der TAP 130 selbst enthalten, so daß Entwickler nicht damit belastet werden müssen, Testmodule in jeden der Blöcke derselben einzubauen, zusammen mit der damit zusammenhängenden Zeitdauer beim Durchführen der Verifizierung.
  • Obwohl die Erfindung bezüglich der darstellenden Ausführungsbeispiele beschrieben wurde, ist es für einen Fachmann auf diesem Gebiet klar, daß an den darstellenden Ausführungsbeispielen verschiedene Änderungen und Modifikationen durchgeführt werden können, ohne von dem Schutzbereich der Erfindung abzuweichen. Es ist beabsichtigt, daß der Umfang der Erfindung nicht in irgendeiner Weise auf das gezeigte und beschriebene Ausführungsbeispiel beschränkt ist, sondern daß die Erfindung nur durch die angehängten Ansprüche beschränkt ist.

Claims (7)

  1. Eine Master-Slave-Takterzeugungsschaltung (300) zum Eliminieren von Durchlaßereignissen in einem Master-Slave-Latch (200), die folgende Merkmale umfaßt: eine programmierbare Verzögerungsschaltung zum Erzeugen eines verzögerten Taktsignals (TCKD), wobei die programmierbare Verzögerungsschaltung auf einen programmierten Verzögerungswert und ein Testtaktsignal (TCK) anspricht; und eine Taktsignalerzeugungsschaltung (330) zum Erzeugen eines Master-Taktsignals (TCKM) und eines Slave-Taktsignals (TCKS), wobei die Signalerzeugungsschaltung auf das Testtaktsignal (TCK) und das verzögerte Taktsignal (TCKD) anspricht.
  2. Eine Master-Slave-Takterzeugungsschaltung (300) gemäß Anspruch 1, bei der die programmierbare Verzögerungsschaltung (340) folgende Merkmale umfaßt: eine Verzögerungsauswahlschaltung (310), die auf den programmierten Verzögerungswert zum Erzeugen eines einstellbaren Verzögerungssteuersignals anspricht; und eine Verzögerungserzeugungsschaltung (320), die auf das einstellbare Verzögerungssteuersignal zum Erzeugen des verzögerten Taktsignals (TCKD) proportional zu dem einstellbaren Verzögerungssteuersignal anspricht.
  3. Eine Master-Slave-Takterzeugungsschaltung (300) gemäß Anspruch 1 oder 2, die folgendes Merkmal umfaßt: ein Verzögerungsregister (302), das angepaßt ist, um den programmierten Verzögerungswert zu speichern.
  4. Eine Master-Slave-Takterzeugungsschaltung (300) gemäß Anspruch 3, bei der: das Verzögerungsregister (302) über eine TAP-Steuerschaltungsanordnung (130) programmierbar ist.
  5. Eine Master-Slave-Takterzeugungsschaltung (300) gemäß Anspruch 2, 3 oder 4, bei der die Verzögerungsauswahlschaltung (310) folgendes Merkmal umfaßt: einen Dekodierer (312), der gekoppelt ist, um den programmierten Verzögerungswert zum Erzeugen des einstellbaren Verzögerungssteuersignals (D0–D7) zu empfangen; und die Verzögerungserzeugungsschaltung (320) folgendes Merkmal umfaßt: eine Mehrzahl von Verzögerungselementen (322a, 322b, ..., 322n), die in Reihe gekoppelt sind, wobei ein erstes (322a) der Mehrzahl von Verzögerungselementen angepaßt ist, um das Testtaktsignal (TCK) zu empfangen und eine verzögerte Version des Testtaktsignals auszugeben, und jedes nachfolgende Verzögerungselement (322b) in der Reihe angepaßt ist, um eine nachfolgend verzögerte Version des Testtaktsignals zu empfangen, wobei die Verzögerungserzeugungsschaltung (320) auf das einstellbare Verzögerungssteuersignal (D0, D1, ..., D7) anspricht, um nur eine der aufeinanderfolgenden verzögerten Versionen des Testtaktsignals als das verzögerte Taktsignal (TCKD) auszugeben.
  6. Eine Master-Slave-Takterzeugungsschaltung (300) gemäß Anspruch 1, 2, 3, 4 oder 5, bei der die Taktsignalerzeugungsschaltung (330) folgende Merkmale umfaßt: ein erstes NOR-Gatter (336), das gekoppelt ist, um das Testtaktsignal (TCK) und das verzögerte Taktsignal (TCKD) zu empfangen, und angepaßt ist, um das Master-Taktsignal (TCKM) anzulegen, wenn das Testtaktsignal (TCK) und das verzögerte Taktsignal (TCKD) beide angelegt sind; und ein zweites NOR-Gatter (340), das gekoppelt ist, um eine invertierte Version des Testtaktsignals (TCK) und des verzögerten Taktsignals (TCKD) zu empfangen, und angepaßt ist, um das Slave-Taktsignal (TCKS) nur anzulegen, wenn das Testtaktsignal (TCK) und das verzögerte Taktsignal (TCKD) beide deaktiviert sind.
  7. Eine Master-Slave-Takterzeugungsschaltung (300) gemäß Anspruch 1, 2, 3, 4, 5 oder 6, die folgende Merkmale umfaßt: ein Master-Slave-Latch (200), wobei das Master-Slave-Latch folgende Merkmale umfaßt: ein Masterlatch (210), das einen Masterlatcheingangsknoten (211) und einen Masterlatchausgangsknoten (215) umfaßt, wobei das Masterlatch (210) auf das Matertaktsignal (TCKM) anspricht, zum Übertragen eines Signals, das an dem Masterlatcheingangsknoten (211) vorliegt, zu dem Masterlatchausgangsknoten (215); ein Slavelatch (220), das einen Slavelatcheingangsknoten (221) und einen Slavelatchausgangsknoten (225) umfaßt, wobei der Slavelatcheingangsknoten (221) mit dem Masterlatchausgangsknoten (225) gekoppelt ist, wobei der Slavelatch (220) auf das Slave-Taktsignal (TCKS) anspricht, zum Übertragen des Signals, das an dem Masterlatchausgangsknoten (215) und an dem Slavelatcheingangsknoten (221) vorliegt, zu dem Slavelatchausgangsknoten (225).
DE60007196T 1999-02-01 2000-01-31 Vorrichtung zur Beseitigung von "Durchgleiten" von Daten während einer Schiebeoperation mit Master-Slave Kippschaltungen Expired - Fee Related DE60007196T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/241,343 US6380785B2 (en) 1999-02-01 1999-02-01 Method and apparatus for eliminating shoot-through events during master-slave flip-flop scan operations
US241343 1999-02-01

Publications (2)

Publication Number Publication Date
DE60007196D1 DE60007196D1 (de) 2004-01-29
DE60007196T2 true DE60007196T2 (de) 2004-12-30

Family

ID=22910333

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60007196T Expired - Fee Related DE60007196T2 (de) 1999-02-01 2000-01-31 Vorrichtung zur Beseitigung von "Durchgleiten" von Daten während einer Schiebeoperation mit Master-Slave Kippschaltungen

Country Status (4)

Country Link
US (1) US6380785B2 (de)
EP (1) EP1028322B1 (de)
JP (1) JP2000230966A (de)
DE (1) DE60007196T2 (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7173469B1 (en) * 2002-01-24 2007-02-06 Cypress Semiconductor Corp. Clocking system and method for a memory
JP4803960B2 (ja) * 2004-02-05 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置
US7293209B2 (en) * 2005-02-09 2007-11-06 International Business Machines Corporation Split L2 latch with glitch free programmable delay
US7240263B2 (en) * 2005-02-21 2007-07-03 International Business Machines Corporation Apparatus for performing stuck fault testings within an integrated circuit
US7373571B2 (en) * 2005-04-07 2008-05-13 Texas Instruments Incorporated Achieving desired synchronization at sequential elements while testing integrated circuits using sequential scan techniques
EP1826232A1 (de) * 2006-01-24 2007-08-29 Electrolux Home Products Corporation N.V. Kunststoffkomponente für Hausgeräte
US7707449B2 (en) * 2006-03-29 2010-04-27 Agere Systems Inc. Systems and methods for low power multi-rate data paths
US7647535B2 (en) * 2006-12-19 2010-01-12 Integrated Device Technology, Inc. Using a delay clock to optimize the timing margin of sequential logic
US9007110B1 (en) * 2013-07-08 2015-04-14 Xilinx, Inc. Register circuits and methods of storing data in a register circuit
CN114095109A (zh) * 2021-11-17 2022-02-25 深圳市领创星通科技有限公司 一种时钟同步方法、装置、设备及存储介质

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4726045A (en) * 1986-03-28 1988-02-16 Tektronix, Inc. Low jitter digital delay generator
US4745310A (en) * 1986-08-04 1988-05-17 Motorola, Inc. Programmable delay circuit
DE69407588T2 (de) * 1994-09-21 1998-07-09 Sgs Thomson Microelectronics Programmierbare digitale Verzögerungsschaltungseinheit
US5771240A (en) 1996-11-14 1998-06-23 Hewlett-Packard Company Test systems for obtaining a sample-on-the-fly event trace for an integrated circuit with an integrated debug trigger apparatus and an external pulse pin
US5878055A (en) 1997-12-09 1999-03-02 International Business Machines Corporation Method and apparatus for verifying a single phase clocking system including testing for latch early mode

Also Published As

Publication number Publication date
US6380785B2 (en) 2002-04-30
EP1028322A1 (de) 2000-08-16
JP2000230966A (ja) 2000-08-22
DE60007196D1 (de) 2004-01-29
US20020000861A1 (en) 2002-01-03
EP1028322B1 (de) 2003-12-17

Similar Documents

Publication Publication Date Title
DE69533275T2 (de) Ein Steuergerät zur Durchführung der Abtastprüfung
DE3832113C2 (de)
DE2346617C3 (de) Verfahren zur Prüfung der einseitig begrenzten Laufzeitverzögerung einer Funktionseinheit
DE4041897C2 (de) Integrierte Schaltkreiseinrichtung und Abtastpfadsystem
DE69634824T2 (de) Integrierte schaltungstestanordnung mit paralleler ansteuerung
DE4221748C2 (de) Bypass-Abtastpfad und integrierte Schaltkreiseinrichtung mit mindestens einem solchen Bypass-Abtastpfad
DE3700251C2 (de)
DE112005001517B4 (de) Synchronisation zwischen Niedrigfrequenz- und Hochfrequenzdigitalsignalen
EP0009572A2 (de) Verfahren und Anordnung zur Prüfung von durch monolithisch integrierte Halbleiterschaltungen dargestellten sequentiellen Schaltungen
DE2349324A1 (de) Verfahren und vorrichtung zum pruefen funktioneller logischer schaltungen
DE10063307B4 (de) Auffangschaltung für Daten und deren Ansteuerungsverfahren
DE102006024507B4 (de) Integrierte Schaltung und Verfahren zum Erkennen von Laufzeitfehlern in integrierten Schaltungen
DE60109321T2 (de) Prüfung von asynchroner rücksetzschaltung
DE60007196T2 (de) Vorrichtung zur Beseitigung von "Durchgleiten" von Daten während einer Schiebeoperation mit Master-Slave Kippschaltungen
DE112006002092T5 (de) Schaltungskartensynchronisation innerhalb eines standardisierten Prüfinstrumentenchassis
DE69433542T2 (de) Prüfung, sequenziellogischer Schaltung auf grund einer kombinatorischen Logikschaltungsveränderung
DE19741915A1 (de) Zwischenspeicheroptimierung in Hardware-Logikemulations-Systemen
DE69533018T2 (de) Struktur und Leistungsabtastprüfung
DE602004007349T2 (de) Vorrichtung zur Bestimmung der Zugriffszeit und/oder der minimalen Zykluszeit eines Speichers
DE19811591C2 (de) Taktsignal modellierende Schaltung mit negativer Verzögerung
EP1430320B1 (de) Elektronischer baustein und verfahren zu dessen qualifizierungsmessung
DE60112211T2 (de) Halbleitervorrichtung zum Bereitstellen einer zuverlässigen Datenanalyse von Signalen
EP1221097B1 (de) Schaltungszelle zur testmuster-generierung und testmuster-kompression
DE69636226T2 (de) Taktsignalgenerator
EP0037965B1 (de) Einrichtung zum Prüfen einer digitalen Schaltung mittels in diese Schaltung eingebauter Prüfschaltungen

Legal Events

Date Code Title Description
8332 No legal effect for de
8370 Indication related to discontinuation of the patent is to be deleted
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: AVAGO TECHNOLOGIES GENERAL IP ( SINGAPORE) PTE. LT

8339 Ceased/non-payment of the annual fee